• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(788)
  • 리포트(742)
  • 시험자료(29)
  • 논문(10)
  • 방송통신대(5)
  • 자기소개서(1)
  • 이력서(1)

"Input variable" 검색결과 381-400 / 788건

  • 수치해석 - 매틀랩(MATLAB)을 이용한 크래머의 법칙(Cramer‘s rule)
    variables')elseerror('We need more than two variables')endfunction compute_cramer(A,b)[m,n]=size(A); ... )elseif n~=merror('Not exist the determinant')endcompute_cramer(A,b);elseif nargin>3error('Too many input
    리포트 | 2페이지 | 1,000원 | 등록일 2009.01.14
  • 제2언어학 관련 저널 요약 보고서
    put emphasis on variable spoken input for L2 phonological development. ... practice in both listening and reading (without structured classroom activities, oral interaction, teacher input ... It is because it allows learners to focus on important differences in the input while learning to disregard
    리포트 | 4페이지 | 1,500원 | 등록일 2010.09.30 | 수정일 2019.11.27
  • 행정현상을 분석하는데 있어 체제이론의 적용가능성
    이를 발전적 단순화(progressive mechanization)라고 한다.3) 체제 이론의 기본 모형환 경투입 → 과정(상호작용하는 요소들) → 산출(1) 투입 (input) - ... 체제는 체제 밖의 모든 것을 의미하는 환경 (environment)을 가지고 있다.(5) 체제는 체제의 구조와 기능에 영향을 주는 요인을 가지고 있는데, 체제 내의 요인을 변인(variables
    리포트 | 3페이지 | 1,000원 | 등록일 2012.01.12
  • [PPT] VHDL 문법
    name Generic Declarations Used to pass information into a model Port Declarations Used to describe the inputs ... 표시 • temp2 := a or b; - a,b 는 signal 이고 temp2 는 variable 이다28 객체 (Object)-signal variable 사용예 library ... NUM1 : real := 100.0; variable NUM2 : std_logic_vector(7 downto 0) := (‘0’,’0’,’0’,’0’,’0’,’0’); variable
    리포트 | 86페이지 | 1,000원 | 등록일 2010.06.12
  • 오실로스코프의 원리
    이 switch가 X-Y의 위치에 있으면 “두 신호 합성 방식”이 선택되어, CH1 입력이 수평입력이 되고 CH2 입력이 수직입력이 되며, 이 두 신호를 합성하게 된다.③ SWP VARiable ... connector (9번) : 한 신호 보기 방식에서는 수직 신호의 입력단자로, 두 신호 합성 방식에서는 수평 신호의 입력단자로 사용된다.② CH2 INPUT connector ( ... 10번) : 한 신호 보기 방식과 두 신호 합성 방식 모두에서 수직 신호의 입력단자로 사용된다.③ Input coupling switches (AC-GND-DC) (11번과 12번)
    리포트 | 4페이지 | 1,000원 | 등록일 2010.11.23
  • 오실로스코프의 동작원리 및 사용법 강의
    오실로스코프의 수직민감도를 조절하여 보기에 적당한 모양을 화면상에 나타내고, 입력선택자(input selector)를 GND로 한다. ... SOURCE를 LINE(60Hz 전원)에 맞추고, MODE control을 AUTO에 설정한다. sweep rate(SEC/DIV)를 우선 0.2s/cm로 한다. sweep control의 variable
    리포트 | 13페이지 | 2,000원 | 등록일 2014.04.11
  • An action Research Final Paper
    The variables of feedback – teaching experienceA number of variables, including the interlocutor, task ... in SLA has long been an issue of importance because of its potential role as a mechanism foonnects input
    리포트 | 15페이지 | 6,000원 | 등록일 2011.05.15
  • battery tester and charging circuit analysis(결과)
    The base of the BJT, there is a input resistance so calculated value by voltage divider is not correct ... By controling variable resistances, we could control the amount of the current flowing each device and ... In other words, we couldn't find the variable resistor's value so that the circuit was not operated properly
    리포트 | 6페이지 | 1,000원 | 등록일 2011.07.09
  • Python 영어 소개 및 발표
    for tuples : • () An empty tuple • t1 = (0, ) A one-item tuple (not an expression) • t2 = (0, • S = input.read ... Variable’s Type is not declared. ... Variable Method We express the girls by class - girls has 4 variables ‘sing’, ‘dance’, ‘charm’, ‘vitality
    리포트 | 52페이지 | 1,000원 | 등록일 2009.12.10
  • 공학영어
    아무것도 없는 데이터(제로 데이터)들이 정확하게 부재의 시간의 길이를 측정하는데 다시 말하자면 두 자기장 변화들 사이에 시간을 측정하는 것을 포함하고 있다.However, the variability ... tremendous speed and accuracy.컴퓨터는 굉장한 속도와 정확을 가지고 단순한 연산을 수행한다.Information enters the computer through an input
    리포트 | 5페이지 | 1,500원 | 등록일 2013.03.30
  • 균형과_평형회복
    – results may be more definitiveHead Injury Balance has been utilized at a criterion variable Additional ... 발) Balance 는 신경의 연결망에 근거한다 Postural control 은 feedback여부에 달려있다 CNS involvement Sensory organization Input ... Control System자세조절3 Components of the system Sensory body motions의 감지 Visual Vestibular Somatosensory inputs
    리포트 | 31페이지 | 2,500원 | 등록일 2010.01.27
  • 운동조절이론(motor control theory)
    감각입력에의해 발생하는 틀에 박힌 운동반응Sensory input is necessary for movement.Sensory input controls motor output.Movement ... understanding of nervous systemfunction from that of a sensory/motor system, reacting to environmental variables ... (감각자극 또는 중추처리과정에 의해서 운동이 활성화 될수 있기 때문에)Sensory input은 동작발동에 반드시 있어야하는 것은 아니지만 행위를 조정하는데는 중요.
    리포트 | 8페이지 | 1,000원 | 등록일 2011.04.30
  • 전산학개론 내용요약정리
    제5세대 컴퓨터(미래) : 광소자, 조셉슨 소자 이용프로그래밍 언어 - 자연어와 흡사한 언어응용 분야 - 음성인식, 화상인식, 문제해결 시스템* 컴퓨터의 5대 장치 : 입력장치(input ... Electronic Numerical Integrator And Calculator) :MARK-I 이후에 개발18,000여 개의 진공관 사용EDVAC(Electronic Discrete Variable
    리포트 | 6페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2020.11.01
  • 오실로스코프
    인 발진기를 트리거 하는 가를 조정하는 것으로 왼쪽 끝까지 돌렸을 때의 위치에는 자동적으로 트리거가 걸린다.⑬ SWEEP VARIABLE(소인 시간 미조정) : 소인 속도를 연속적으로 ... 또한 EXT(외부)의 위치로 하면 소인 발진이 정지하고 수평축 증폭기의 입력 측이 EXT HORIZONTAL INPUT단자 에 연결된다. ... 통과시키 기(AC)위한 스위치이다.⑩ VOLTS/CM(수직감도전환) : 수직축 증폭기의 입력측 감쇠기로서 손잡이를 오른쪽 끝으로 돌렸을 때 표시된 감도 [V/㎝]로 된다.⑪ VERT VARIABLE
    리포트 | 5페이지 | 1,000원 | 등록일 2009.01.01
  • Signals and Spectra 발표 자료
    SpectraSignal Transmission Through Linear Systems □ Signals, Circuits, and Spectra - Convolving an ideal input ... very general conditions the probability distribution of the sum of j statistically independent random variables ... PropertiesAutocorrelation □ Autocorrelation of a Periodic (Power) Signal - PropertiesRandom Signals □ Random Variables
    리포트 | 45페이지 | 1,000원 | 등록일 2010.09.15
  • 기초전기전자실험 결과보고서(오실로스코프)
    또 이 - 단자와 “EXT INPUT" 단자 옆에 있는 ”GND" 단자 사이의 저항을 측정하시오. ... BNC probe를 CH 1의 “INPUT" BNC에 연결하고 probe의 빨간 단자를 ”PROBE ADJUST 1Vp-p"단자에 연결하여 사각파 파형을 관찰한다. ... -단자사이의 저항은0.0032Ω 이 측정되었다.그리고 -단자와 “EXT INPUT" 단자사이에서는 0.001Ω의 저항이 측정되었다.그림에서 보듯이 접지점 GND" 단자는 접지점의 역할을
    리포트 | 24페이지 | 3,000원 | 등록일 2008.09.10
  • VLSI sequence dectector 설계
    Detector 설계-이번학기 VLSI에서는 verilog code를 이용해서 sequence detector를 설계 하였다.project schematic은 아래 그림과 같다.input은 ... 사용 하였고 작성한 코드는 다음과 같다.output인 flag는 내부registor의 output이므로 wire가 아닌 reg로 하였다. state의 개수는 5개 이므로 state variable
    리포트 | 8페이지 | 2,000원 | 등록일 2009.09.15
  • 모자, 자켓, 허리 사이즈 구하기 (c++) - 몸무게, 키, 나이에 따른 값 구하기
    ); // Determine two decimal places for all output.cout.setf(ios::showpoint); // It is located after input ... : The value of variable 1 and variable 2 with the constant JACKET_SIZE_RATE// have been calculated by ... 1(height_par), variable 2(weight_par), and variable 3(age_par)have been given values.// Post-condition
    리포트 | 6페이지 | 4,000원 | 등록일 2008.10.14
  • 강수량(rainfall) 구하기 (c++) - 12개월의 강수량 입력후 평균강수량과의 차이와 작년,올해 표시
    stream variable using.Derive the proper file name from the user.Connect a stream variable to a file ... Algorithm Design ・・・・・・・・・・・. 3�� Problem Definitioninput1 integer (number_month)12 decimals (actual_input ... ] is used to store the actual rainfall data over the past twelve monthsfrom the user.- �� The user inputs
    리포트 | 12페이지 | 4,500원 | 등록일 2008.10.14
  • [디지털 논리 회로 프로젝트] AMD-2901 예제 파형(wave form) 분석
    RAM REGISTER ModuleINPUT Variables : Aaddr, Baddr, f, dest_ctl, ram0, ram3OUTPUT : Adata, BdataDest_ctl ... AMD 2901 SIMULATION- Wave form- 20 cycle 분석구간Input 값지시분석#1D = 4'b0011;C0 = 1'b0;Aaddr = 4'd1;Baddr =
    리포트 | 13페이지 | 2,000원 | 등록일 2013.06.20
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:45 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대