• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(788)
  • 리포트(742)
  • 시험자료(29)
  • 논문(10)
  • 방송통신대(5)
  • 자기소개서(1)
  • 이력서(1)

"Input variable" 검색결과 341-360 / 788건

  • 17. 전자측정 연습(예비)
    VOLTS/DIV가 [1 V]에 놓이면 화면에서 수직으로 1칸이 1V가 된다.(2) CH1입력단자에 입력선(input probe)을 연결한다. ... (c) 전압과 시간을 측정할 때 VOLTS/DIV, TIME/DIV의 미세조정(variable) 손잡이는 시계방향으로 끝까지 돌려 cal 위치에 두어야 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2013.11.02
  • 2-port Nand,Xor, 3-port AND gate의 설계
    또 a, b, c의 자료의 형태가 bit형이라는 것은 '1'과 '0'의 두 가지 값만을 갖게 된다는 것이다. ii ) 변수(Variable) variable 객체의 경우는 process나 ... 이런 특성을 이용하여 패리티 비트 생성이나 패리티 비트 검사에 활용할 수 있다.입력(input)출력(output)ABY0000111011102 port XOR Gate의 진리표2. ... NAND Gate만을 조합하여 모든 논리회로를 구현할 수 있기 때문에 만능 게이트(범용 게이트)라고 한다.입력(input)출력(output)ABY************* port NAND
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.24
  • Discrete-Time 시스템의 기초에 관한 발표자료입니다.
    Theroem 4. ※ proofFrequency Domain Representation □ Response to a Complex Exponential Sequence Complex variable ... ( n+P ) = x(n) for all n , P : integer ) □ A discrete-time system - Deterministic / Random system - Input ... if S is finite □ proof x(n) : bounded input = |x(n)| M for all nDISCRETE-TIME SYSTEMS □ Causality -
    리포트 | 35페이지 | 2,000원 | 등록일 2010.10.13
  • 17장 전자측정 연습 예비
    VOLTS/DIV가 [1V] 에 놓이면 화면에서 수직으로 1칸이 1V가 된다.(2) CH1 입력단자에 입력선(input probe)을 연결한다. ... (c) 전압과 시간을 측정할 때 VOLTS/DIV, TIME/DIV의 미세조절(variable) 손잡이는 시계방향으로 끝까지 돌려 cal 위치에 두어야 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.31
  • 7segment 구현
    The variables A, B, C, and D will be available from toggle switches. ... A segment is lighted when a logic is applied to the corresponding input on the display module.Design ... Any solution that uses 18 or fewer gates and inverters ( not counting the four inverters for the inputs
    리포트 | 16페이지 | 4,000원 | 등록일 2010.11.06 | 수정일 2022.08.11
  • NHN_완성본-경영학분석
    I am responsible for inputting Naver Encyclopedia'7.29 ... promotion in other companies, but quantitative variables are the only important factors in NHN.Ⅳ. ... The staffs say that qualitative variables like leadership or drive strength are important factors for
    리포트 | 17페이지 | 3,000원 | 등록일 2011.12.24
  • 저항, 머패시터, 인덕터 예비보고서01
    볼륨(variable ohm)이라고도 부르는 가변저항기의 저항값은 회전각에 따라 변화한다. ... 저주파 코일에는 저주파 초크코일, IPT(InPut Transformer), OPT(OutPut Transformer), 전원 트랜스 등이 있고, 고주파 코일에는 IFP(Intermediate
    리포트 | 5페이지 | 1,000원 | 등록일 2013.12.10
  • Control[제어공학]을 활용한 [에어백]Airback 시스템 설계 메트랩[matlap]활용
    A PID controller calculates an "error" value as the difference between a measured process variable and ... : In original loop,Impulse input is 5000N.Red : In PID controller, Impulse input is 5000N.Blue : In ... The controller attempts to minimize the error by adjusting the process control inputs.
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.11
  • 통신실험 결과보고서(Unit10-ex1)
    ADM중의 한 형식은 Continuously Variable Slope Delta (CVSD) modulation이다. ... Decoder의 SLOPE CONTROL은 같은 setting이 되어야 한다.8) Lowpass Audio Filter의 AUDIO OUTPUT을 Delta Encoder의 AUDIO INPUT
    리포트 | 17페이지 | 2,000원 | 등록일 2013.12.19
  • RC Circuit 예비레포트
    function, then set the controls to the standard position as follows : set the oscillos, turn off the variable ... Remove the 5 volts from the INPUT. ... 두 번째로, 축전기가 달린 두 번째 저항체를 바꾸고 전압을 가하여 회로의 특성을 확인한다.TheoryVoltage Divider전자공학에서 Voltage divider은 input
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.22
  • 통신실험 결과보고서(Unit10-ex2)
    AC position으로 channel 1 input coupling을 돌려놓는다. ... ADM중의 한 형식은 Continuously Variable Slope Delta (CVSD) modulation이다.
    리포트 | 17페이지 | 2,000원 | 등록일 2013.12.19
  • 데이터 구조 - Linked List 연산
    calculating processshould be eliminated before they get linked.(2) Do not use too many or unnecessary variables ... This operations begin with comparing the exponents of two inputs. ... Strategies(1) Get the polynomial inputs by their coefficient and exponents values.(2) The terms with
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.26
  • [양때구름]현대경영과학
    *Input and Output of Example 3-2*LP models in general forms - model with equality constraints[Example ... =1.2Z=2.4Z=Z**Surplus variableSurplus variable of the first constraint is zero at the optimal solution ... *[Example 3-2] (A minimization problem)Variables: Model:*[Example 3-2] (A minimization problem)00.10.20.30.4123Z
    리포트 | 241페이지 | 1,000원 | 등록일 2012.02.20
  • 논리설계실험 chap 01 논리게이트설계
    Simulator로 입, 출력 signal을 확인Problem StatementAND, OR, XOR 게이트 설계- AND, OR, XOR 게이트를 한 architecture 구현▶ input ... 직접 작성하여 다음과 같은 Simulation 값을 얻는다.▶ Testbench에서 input value를 정하여 ModelSim Simulator를 통해 signal을 구한다.Implementation ... variable을 and, or, xor 게이트를 통해 output를 구한다.- Entity 이름은 basic_gate로 한다.- Architecture 이름은 Behavioral로
    리포트 | 5페이지 | 1,000원 | 등록일 2008.10.26
  • 반도체소개: ASICs
    NAND gate Four CMOS transistors per gate Example : 100k-gate IC  100,000 two-input NAND gates  400,000 ... IIIASIC(1)We measure the size of an IC by the number of logic gates or the number of transistors Gate = 2-input ... volumes  FPGA is more efficient High volumes  MGA and CBIC are more efficientASIC Fixed CostsASIC Variable
    리포트 | 20페이지 | 2,000원 | 등록일 2010.06.08
  • Coupler Devices and Technology
    Input through isolationBrach-line coupler Input through1 through2 isolation Input through1 through2 ... isolation5.3.5 Balun (Balance Unbalance ) B A C 180 o variable impedance ratio push-pull amplifier +90 ... coupling through isolation Lange coupler Directional coupler coupling Input through isolation coupling
    리포트 | 28페이지 | 3,000원 | 등록일 2010.04.02
  • PLLT 챕터6~10 summary 요약본입니다.
    학습자의 능동적인 참여를 간과3.Krashen claimed that 'comprehensible input is the only causative variable in the second ... However, he focused more on the question of how input could be made comprehensible. ... and noticing gaps b/w the learner's current internalized rule system and the new input.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.06.27
  • 전기전자기초실험 Audio Amplifier Circuit Design 결과보고서(영문)
    Audio Amplifier Circuit.- Is there any difference when the variable resistor changes? ... in frequency domain according to following steps and graph its data.1)Referring fig 6-14, change the input ... When the input source is bigger than output source, there should be heat which is preventing the overflowing
    리포트 | 11페이지 | 1,500원 | 등록일 2009.09.25
  • C++를 이용한 영상에 가우시안 노이즈 삽입/복원
    이미지 파일 openif( fp_in == NULL ){printf("Input File Open Error... ... 이미지 파일 openif( fp_in == NULL ){printf("Input File Open Error... ... 위와 같이 생성된 Uniform Random Variable을 의 수식을 통해 가우시안 Random Variable을 얻을 수 있다.
    리포트 | 7페이지 | 2,000원 | 등록일 2008.06.16
  • 기술제품마케팅 Quality Function Deployment(QFD), Conjoint Analysis 의 정리와 방법론
    canvas, nylon) - sole(rubber, polyurethane, plastic), price($30, $60, $90) - sole, upper - price3) input ... 또는 구매의도이므로 rating 또는 ranking 모두 가능ex) 9점 리커트 척도 사용 (선호 X =1, 매우 선호=9) ;4) 컨조인트 분석절차의 선정분석모델 ; dummy variable
    리포트 | 7페이지 | 1,000원 | 등록일 2014.09.17
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:57 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대