• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(788)
  • 리포트(742)
  • 시험자료(29)
  • 논문(10)
  • 방송통신대(5)
  • 자기소개서(1)
  • 이력서(1)

"Input variable" 검색결과 401-420 / 788건

  • 기본논리회로 및 부울 대수, 회로의 간소화 및 XOR 회로
    Datasheet2 Input AND gate2 Input OR gate2 Input XOR gate2 Input NAND gate3 Input NAND gate2. ... MapYX010Y1XXYo Three-Variable MapYZX000111100ZYZY1XXZXYZXYo Four-Variable MapYZWX0001111000ZYZY01XXZXYZXY11WXWXZWXYZWXY10WWZWYZWYo ... 4>2)-묶을 때 map은 평면이 아니라 ‘구’로 생각3) 변하지 않는 변수(값이 일정한 변수)를 찾는다.4) 같은 묶음은 변수 곱, 다른 묶음 변수는 합으로 연결한다.o Two-Variable
    리포트 | 12페이지 | 1,500원 | 등록일 2008.10.03
  • matlab quiz3
    If x is greater than or equal to zero, then assign the square root of xto variable sqrt-x and print out ... print out an error message about the argument of the square root function, and set sqrt-x to zero.x= input
    리포트 | 5페이지 | 1,000원 | 등록일 2009.10.26
  • 조윤경 교수님, 영어교육론 내용정리 레포트
    교사의 지도를 받는 상황에서의 학습이든지 아니든지 간에 학습자가 목표어의 규범에 노출된 정도에 따라 달라질 수도 있다.(3) Ellis(1994,1986)의 변이적 언어 능력 모형(variable ... 그러나 어떤 경우를 화석화 됐다고 여길 수 있는지 애매하고 화석화 현상 자체가 완벽한 개념이 아니라는 문제점이 있다.Recapitulate Krashen’s input hypothesis ... the pedagogical implications of each hypothesis.크라센의 입력가설, 롱의 상호작용가설, 스웨인의 출력가설의 개념과 교육적함의Krashen’s input
    리포트 | 5페이지 | 1,500원 | 등록일 2011.06.17
  • [전기전자응용실험] Regulators and DC-DC Converters 결과보고서
    .- variable output voltageDesign 6.1.2?The test of the regulator are above graph. ... And we laso know, if the input voltage didn't exceed the limit voltage, the output voltage follows input ... But when we simulate at AC voltage, it likes establish capacitor in the input.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.07.29
  • 관광현상 에 대한연구
    variables)를 조사해야 한다.관광행동 연구의 한계자극변수매개변수반응변수자극변수 刺戟變數(stimulus variables) 투입변수(input variables) 관광제품과 ... 관광자들이 어떠한 상황을 경험하든 그들의 행동을 이해하기 위해서는 자극변수(stimulus variables), 반응변수(response variables), 매개변수 (intervening ... 관련된 각종 정보 뿐만 아니라 가족, 사회계층과 같은 사회적 요인을 포함해서 행동을 유발하는데 투입되고 있는 일체의 자극(stimuli)매개변수 媒介變數(intervening variables
    리포트 | 22페이지 | 3,000원 | 등록일 2010.07.26
  • 연세대 응용실험 레포트 예비9~12
    When input voltage is 3~18V, it recognize H. And when input voltage is 0~0.8V, it recognize L.2. ... Input hysteresis for stable operation4. ... 0.0 with 1, Port0.1 with 2, Port0.2 with 3, Port0.3 with 4.- It is important that control sensor's variable
    리포트 | 16페이지 | 1,500원 | 등록일 2010.09.13
  • 04.오실로코프
    VOLT/DIV의 지시치는 형광면의 수직신호 편향의 매눈금에 해당하는 전압을 결정한다.(11),(16) VARIABLE PULL X 5MAG : CH1과 CH2의 직 감쇄기 미조정스위치로 ... (그림6)(9),(14) INPUT : 수직입력신호의 연결용 BNC컨텍터이다.(10),(15) VOLT/DIV : 수직입력신호를 감쇄하는 단자이다. ... 경우 사용된다.SEC/DIV - 스위치의 0.5s~0.1㎲까지는 TV-V로 되어 수직 동기신호에 동기되고, 0.2㎲/까지는 TV-H로 되어 수평 신호에 동기된다.(25) EXT INPUT
    리포트 | 5페이지 | 2,500원 | 등록일 2011.07.19
  • 디지털도어락(digital door lock)설계-논리회로설계실험
    클럭의 상승에지에 동작하도록 설정하였다. std_logic형이다.③ key_num : 실제 도어락에서 버튼의 역할을 하는 input값이다. ... IEEE.STD_LOGIC_UNSIGNED.ALL;entity key isport( rst, clk : in std_logic;key_num : in integer range 0 to 11; -- input ... password : integer range 0 to 6; -- array의 위치를 나타내기 위한 변수.variable state : integer range 0 to 19:=0;
    리포트 | 16페이지 | 4,000원 | 등록일 2009.10.23 | 수정일 2015.11.04
  • [전기전자응용실험] Analog 통합보고서
    .- variable output voltageDesign 6.1.2?The test of the regulator are above graph. ... Input is microprocessor's 8 bit and output is analog voltage. ... But when we simulate at AC voltage, it likes establish capacitor in the input.
    리포트 | 12페이지 | 1,000원 | 등록일 2009.10.19
  • 의사결정기법과 신경망 모형을 이용한 최적 DM발송 모형 선택(데이터마이닝)
    [그림3]는 SAS E-Miner의 Input data Source 노드의 Open메뉴를 선택하여 Variables 메뉴를 통해 본 것이고 변수의 역할 및 측도를 지정하였다. ... 결론에서는 Variable Seletion 노드에서 카이제곱통계량을 이용하여 선택한 결과를 통해 목표변수에 영향이 큰 변수들을 추론하였다.2. ... 새 라이브러리 추가와 HMEQ자료의 지정Input Data Source 노드는 분석에 사용할 데이터세트, 입력변수와 목표변수, 각 변수에 대한 측도(measure) 등을 지정하는 노드이다
    리포트 | 9페이지 | 1,000원 | 등록일 2010.03.23
  • 오실로스코프예비
    VARIABLE : 파형의 크기를 연속적으로 증감시킨다. ... FOCUS와 INTENSITY 조절자를 조정하여 수평위선을 선명하게 조절⑤ 수직 및 수평 POSITION조절자를 돌려 휘선이 적당한 부분에 위치하도록 맞춤.⑥ 프로브 10:1를 CH-A의 INPUT단자에 ... 연결하고 프로브의 끝을 CAL 0.5p-p출력단자에 검.⑦ CH-A의 수직 감쇄기 VOLTS/DIV스위피를 10mV/DIV에 놓고 동축상의 VARIABLE을 멈추게 걸릴때까지 시계방향으로
    리포트 | 7페이지 | 1,000원 | 등록일 2011.04.13
  • 오실로스코프 사용법 실험레포트
    프로브 (10:1)를 CH-A의 INPUT단자에 연결하고 프로브의 끝을 CAL 0.5Vp-p출력단자에 건다.7. ... TIME/DIV VARIABLE(10)를 각각의 CAL위치(시계방향)로 RJFFLFeOrk지 돌린다. ... VOLTS/DIVCH-A용 수직 감쇄기, 스케일은 CRT화면상에 큰 눈금 (DIV)당의 전압으로 구분되어 있으며 전량전압(CALIBRATED VOLTAGE)은 VARIABLE 소인(
    리포트 | 12페이지 | 1,000원 | 등록일 2010.07.15
  • 회귀분석의 실제
    모델에서 Input변수의 타입이 다르다. ... 종속변수는 두 모델에서 모두 numerical, 연속형 변수이지만, 회귀분석에서는 Input 변수도 연속형 변수(소득,시험성적 등)이고, 분산분석에서는 Input 변수가 categorical ... ): 다른 변수에 영향을 주는 변수, 원인변수, 설명변수, 예측변수라고도 함. 2) 종속변수 (dependent variable): 다른 변수의 영향을 받는 변수, 반응변수, 결과변수라고도
    리포트 | 37페이지 | 3,000원 | 등록일 2010.04.22
  • 4개의 입력과 1개의 출력을 가지는 회로를 여러 가지 방식으로 표현하고 이를 VHDL로 표현
    이것은 process문 안에 변수 data를 variable type으로 써서 A와 B, C, D를 하나로 묶어 주어서 해결했다. ... Team-project과제 44개의 Input A, B, C, D를 이용하여 1개의 Output S를 출력하는 회로를 설계하여 이를 VHDL로 표현한다. ... ******5050045040035030025020015010050시간 (ns)11111100110011001100C111000011110000B111111100000000A입력(Input
    리포트 | 34페이지 | 7,000원 | 등록일 2010.06.24
  • [전기전자응용실험] Timer and Audio Amp 예비보고서
    And its output is variable frequency oscillation. And we design audio amp buffer. ... Its input is a timer's output and its output goes to the input of speaker. ... speaker to the timer and the audio amplifier and check if the output aound changes according to the input
    리포트 | 5페이지 | 1,000원 | 등록일 2009.07.29
  • 확률 및 랜덤변수 MATLAB
    ranges are sufficiently narrow.Any function f that describes the probability density in terms of the input ... A random variable is not a variable but rather a function that maps events to numbers. ... 목 적MATLAB을 통해서 random variable, joint random variable, voice data에 대한 코딩을 하고, 각각의 histogram 및 PDF를 구해본다
    리포트 | 13페이지 | 1,500원 | 등록일 2009.06.25
  • [경제]【A+】거시경제학에 대하여
    productivityWHAT MACROECONOMICS IS ABOUTAverage labor productivity Output produced per unit of labor input ... collections, 1869-2005WHAT MACROECONOMICS IS ABOUTAggregation Aggregation: summing individual economic variables
    리포트 | 29페이지 | 1,000원 | 등록일 2011.07.15
  • 전기회로 - RC Circuit
    experimentFirst-order Differential Equation으로 표현되는 RC회로의 개요와 시정수(Time Constant)의 물리적인 의미를 안다.RC회로에 Step Input을 ... Response의 형태가 변하는 것을 관찰한다.TheoryTime Constant(시상수)t=0일 경우 이고 1) 가 된다.t=()일 경우에2) …b1)과 2)의 식을 하나의 unknown variable
    리포트 | 22페이지 | 1,000원 | 등록일 2011.03.31 | 수정일 2013.11.29
  • [기초회로실험] 6주차 실험 25, 26, 31, 33장 예비보고서입니다.
    브라운관의 관 면상에 SCALE 1 DIV당 전압치로 눈금이 그려져 있다.11) VARIABLE PULL ×5 MAG : CH1의 수직감쇄기 미조정 스위치 VARIABLE KNOB를 ... 0.1us에 있을 때는 TV-V로 되어 수직동기신호에 동기되고, 0.2us 까지는 TV-H로 되어 수평동기신호에 동기된다.DC : 동기신호가 직접 동기회로에 유도된다.25) EXT INPUT ... : TRIGGER 신호원으로 사용할 신호를 공급해주는 입력단자26) SEC/DIV : 소인(sweep) 시간을 선택하는 스위치이다.27) VARIABLE : 소인 시간의 미세 조정기이다
    리포트 | 16페이지 | 1,000원 | 등록일 2010.12.05
  • [DSP 프로젝트] C를 통한 콘벌루션 , DFT, FIR System 구현
    성립함을 보여준다.The Code : Problem Ⅰ (Convolution)#include #include #include main(){int n=20, i=0,k=0; // variable ... signal isfor.Input signal 인 x[n]을 살펴보면 n값의 범위가인 점을 상기시켜 보면 결국 n의 개수가 21개 임을 알 수 있다. ... ProjectProgramming Language : C/C++Problem Ⅰ (Convolution)There are two LTI systems with impulse response,and input
    리포트 | 30페이지 | 2,000원 | 등록일 2009.09.18
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:41 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대