• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(788)
  • 리포트(742)
  • 시험자료(29)
  • 논문(10)
  • 방송통신대(5)
  • 자기소개서(1)
  • 이력서(1)

"Input variable" 검색결과 321-340 / 788건

  • 전기전자기초실험 Audio Amplifier Circuit Design 예비보고서(영문)
    First, Audio amp circuit uses op-amp and variable resistor to control gain of circuit. ... Therefore, variable resistor connectred with 22nF capacitor in parallel is meaningless in high frequency ... resistor : 510Ω, 2.2kΩ, 10kΩ*2EA, 11kΩ*2EA, 22kΩ*3EA④ variable resistor : 10kΩ, 100kΩ⑤ capacitor: 560pF
    리포트 | 4페이지 | 1,000원 | 등록일 2009.09.25
  • 2-link planar robot manipulator 제어기 설계
    =m2*g*lc2*co12;G=[g1; g2];% Gain matrixkpi=9.1367; kvi=3.9059;kp=kpi*eye(2);kv=kvi*eye(2);% control input ... PD control of two link robot manipulator simulationfunction xp = pdcontrol(t,x)xp=zeros(4,1);% state variable ... 0.5];%d=[0; 0];% Gain matrixkpi=9.1367; kvi=3.9059;kp=kpi*eye(2);kv=kvi*eye(2);K=[kp kv];% control input
    리포트 | 13페이지 | 1,500원 | 등록일 2013.03.15
  • 10주차_오실로스코프 이론
    외부 트리거(EXT INPUT)입력단자의 입력신호가 트리거 신호원으로 된다.주의 : VERT MODE S.W가 CH1및 CH2의 경우 내부 트리거 신호원은 SOURCE S.W로는 선택불가 ... 스위치로서 다이얼을 끌어당기면(PULL) 해당하는 채널의 수직축 Gain 5배로 된다.6) VARIABLE파형의 크기를 연속적으로 증감시킨다. ... 브라운관의 관면상에 SCALE 1DIV당 전압치로 눈금이 그려져 있고 VARIABLE의 경우 시계방향으로 회전한 위치(CAL위치)가 교정된 전압치를 표시한다.
    리포트 | 13페이지 | 1,000원 | 등록일 2012.05.15
  • [기업환경] 기업환경의 의의와 분류 및 성격
    즉, 원자재, 에너지, 자금과 같은 투입요소(INPUT)를 외보로부터 받아서 변화과정을 통해서 재화 또는 서비스라는 산출물을 외부환경에 다시 내보낸다. ... 한편 간접환경은 그 속성에 따라서 사회적 요인(social variables), 기술적 요인(technological variables), 정치적 요인(political variables ... ), 경제적 요인(economical variables), 국제적 요인(international variables)으로 구분된다.과거에는 기업이란 조직은 오직 자신의 내부 이해자 집단
    리포트 | 13페이지 | 3,000원 | 등록일 2012.01.30
  • THE BJT DIFFERENTIAL PAIR AND APPLICATIONS-예비보고서
    1kΩ potentiometer and two electrolytic capacitors of 1μF4) generating and measuring equipment- two variable ... (b) What is the common-mode input resistance for β=∞? for β=100? ... Input에 걸리는 common mode DC voltage는 double ended output 을 취할 때 서로 상쇄되어 없어진다.그림에서와 같이 base양단 사이에 전압를 걸어주면
    리포트 | 11페이지 | 1,000원 | 등록일 2011.06.02
  • 소비자 행동론 A theory of buyer behavior
    Four elements of theory Stimulus (Input) variables from social environment and marketing programme of ... upon interaction of the first three sets of variables)4. ... Exogenous variables that effect the internal state of the buyer (these include social class, culture,
    리포트 | 9페이지 | 3,000원 | 등록일 2010.03.06
  • 전기전자기초실험-Audio Amplifier Circuit Design결과
    Good efficiency means one versus one ratio of the input power and output power. ... How it behaves according to the location of variable resistor? ... How it behaves according to the location of variable resistor?
    리포트 | 8페이지 | 1,000원 | 등록일 2010.10.31
  • wimax 물리계층(physical _badtags) 요약 자료
    Improved link margin ( subchannelization gain) Flexible subchannelization Scalable structure to support variable ... Convolution code cleans up low-input SNR’s Block code cleans up the remaining errorsInterleaving 12 It ... The IFFT can be made using an FFT by conjugating input and output of the FFT and output by the FFT sizeCyclic
    리포트 | 30페이지 | 2,000원 | 등록일 2012.11.07
  • 기본적인장비사용법및주의사항
    CH2 INPUT, CH1 INPUT : 채널2, 채널1 입력커넥터 (전압신호를 측정하기 위해 프로브를 연결하는 커넥터로 이를 채널(channel)이라고 한다.?,? ... 입력단자②20A : AC, DC 전류 2A~20A범위를 측정 입력단자⑭V/Ω/dBm :COM 단자와 함께 전압, 저항, 데시벨, 측정을 입력 단자.⑮COM : 전압, 전류, 저항 측정의 Input ... (이때 수직축 VARIABLE 손잡이와 수평축 VARIABLE 손잡이가 오른쪽 끝(CAL위치)까지 위치해 있어야함)⑸측정신호가 멈추지 않고 움직일 때 조정방법트리거방식(TRIG MODE
    리포트 | 9페이지 | 1,000원 | 등록일 2010.03.17
  • AN INNATIST MODEL: KRASHEN`S INPUT HYPOTHESIS
    AN INNATIST MODEL: KRASHEN`S INPUT HYPOTHESIS◎ Krashen`s Hypothesis1.The Acquisition-Learning Hypothesis ... .2.The Monitor Hypothesis:"Monitor" is involved in learning and is a device for "watchdogging" one`s input
    리포트 | 2페이지 | 1,500원 | 등록일 2010.11.13
  • 오실로스코프와 신호발생기
    switch가 X-Y의 위치에 있으면 “두 신호 합성 방식”이 선택되어, CH1 입력이 수평입력이 되고 CH2 입력이 수직입력이 되며, 이 두 신호를 합성하게 된다.(27번)SWP VARiable ... connector: 한 신호보기 방식에서는 수직 신호의 입력단자로, 두 신호 합성 방식에서는 수평 신호의 입력단자로 사용된다.(10번)CH2 INPUT connector: 한 신호보기 ... 방식과 두 신호 합성 방식 모두에서 수직 신호의 입력단자로 사용된다.(11번,12번)Input coupling switches (AC-GND-DC): 이 스위치를 AC에 놓으면 입력신호
    리포트 | 3페이지 | 1,000원 | 등록일 2012.01.11
  • 의사결정나무분석 소개
    variable)의 선택과 범주(category)의 병합이 이루어지는 기준을 의미한다. ... 마디들을 의미하며, 이 때 이루고 있는 마디의 개수를 가지의 깊이(depth)라고 한다.3) 분리기준의사결정나무의 분리기준은 하나의 부모마디로부터 자식마디들이 형성될 때 입력변수(input
    리포트 | 4페이지 | 5,000원 | 등록일 2012.10.29
  • 회계이론 자산부채 VS 수익비용 이론 비교 분석
    liabilities as the primary goal of financial reporting, with the determination of other accounting variables ... specific circumstances.The Alleged Conceptual Superiority of the Balance Sheetretion with respect to inputs
    리포트 | 9페이지 | 1,500원 | 등록일 2013.04.25
  • FEEDBACK PRINCIPLES USING AN OP-AMP BUILDING BLOCK-예비 보고서
    capacitors each of 0.1μF and 100μF for power-supply bypassing4) generating and measuring equipment- two variable ... Feedback Network가 아무런 Noise를 만들지 않는 경우라면, Feedback이 있을때나 없을때나 동일한 Input-Referred Noise를 보이게 된다. ... 이러한 효과를 일반화하면 다음과 같다.(2) Input Resistance 뿐만 아니라 Output Resistance도 바뀌게 되며, 이는 회로의 성능을 향상시키는 역할을 한다.(
    리포트 | 13페이지 | 1,000원 | 등록일 2011.06.02
  • Design for Baseband BPSK/QPSK Communication System using SIMULINK
    The Variable name parameter in the Signal to Workspace block must match the value you enter in the BER ... variable name field of BERTool.If your model computes a symbol error rate instead of a bit error rate ... signalInitial seed : 1237Eb/No (dB) : EbNoNumber of bits per symbol : 1Input signal power (watts) :
    리포트 | 10페이지 | 3,000원 | 등록일 2010.06.09
  • 지구행복지수에 대한 데이터마이닝(sas_e-miner)을 통한 다방면적인 해석
    .* 변수에 대한 간략한 분석< Interval Variables >변수이름최소값최대값평균표준편차결측치 비율LIFE_SAT_0_10_2.44628.49975.91531.36970LIFE_EXP_YEARS ... 그 외에는 input을 하였다.Tree? 좀더 정확하고 세밀하게 분석하고자 basic탭에서 Significance level을 0.05로 설정하였다. ... 상위 30%소속 국가를 1, 하위 70%국가를 0으로 변환한 새로운 변수 HPI를 타깃으로 설정한 뒤, COUNTRY는 id로, 그 외에는 input을 하였다.data partition
    리포트 | 16페이지 | 2,000원 | 등록일 2011.06.08
  • [알고리즘 트레이닝 북] 회사명 변경
    string */char c;/* input character */int nlines; /* number of lines in text */int i, j; /* counter variables ... *t);replace_x_with_y(char *s, int pos, int xlen, char *y);/* end of function prototype *//* Global variables ... string example */#include /* include header file for treat string */#include /* include header file for input
    리포트 | 5페이지 | 2,500원 | 등록일 2009.01.22
  • 숭실대 정통전 기초회로실험 보고서입니다. 실험 25. 테브닌 정리 실험 26. 노튼의 정리 실험 31. 오실로스코우프 동작 실험 33. 오실로스코우프를 이용한 전압 및 주파수 측정
    Variable (가변조정) - 화면상의 파형의 수직높이를 미세조정 하기위해 Volts/Div조정과 함께 사용? Input Coupling AC-GND-DC ?
    리포트 | 9페이지 | 1,000원 | 등록일 2014.12.18
  • Electronic Circuit Experiment pre-report 3
    기초이론 Capacitor input Filter Electrolytic Capacitor C1 을 부하저항 R 에 병렬로 추가 D1, D2 두 Diode 가 입력 정현파의 반주기마다 ... 실험 부품 및 장비 전원 : 110/220Vrms 60Hz 장비 : Oscilloscope, EVM 또는 VOM, 0~100mA 직류 밀리 전류계 Variable Resistor :
    리포트 | 20페이지 | 1,000원 | 등록일 2014.12.03
  • 행맨 프로그램 (hangman c++[array사용]) - 모듈화를 통한 데이터베이스 구축, 파일 입출력가능(35pages)
    stream variable using.Derive the proper file and connect a stream variable to a fileIf the function ... integer - pos(position of the letter which the user inputs to find the hidden word out)2 characters ... - name, letter(user name, the letter of the word which the user inputs)outputname (the user name)sum
    리포트 | 35페이지 | 6,000원 | 등록일 2008.10.14
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대