• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(788)
  • 리포트(742)
  • 시험자료(29)
  • 논문(10)
  • 방송통신대(5)
  • 자기소개서(1)
  • 이력서(1)

"Input variable" 검색결과 161-180 / 788건

  • 물리학및실험2 (1) 디지털 멀티미터 및 오실로스코프
    오실로스코프의 초기설정(영점조절)(1)오실로스코프의 전원을 넣기 전에 GND 상태로, 수평방향, 수직방향의 VARIABLE 다이얼을 CAL 위치로 (시계방향으로 끝까지) 돌린다.(2 ... 프로브(probe)를 10:1로 하고 CH-1의 INPUT 단자에 연결하고 프로브의 끝을 CAL 0.5Vp-p (또는 1Vp-p) 출력단자에 연결한 후 AC-GND-DC 스위치를 DC에
    리포트 | 6페이지 | 1,500원 | 등록일 2019.04.03 | 수정일 2019.04.05
  • 성대 진동실험 (A+) - Op-amp and Strain gauge
    the better variable resistance. ... To easily say, op-amp is a device that can amplify the input voltage and makes bigger output voltage. ... To make it better experiment, variable resistance should be replaced with the constant resistance or
    리포트 | 16페이지 | 3,000원 | 등록일 2017.01.06
  • 카이스트 Bioengineering Laboratory 1 lab5_final [Microarray data analysis]
    또한 함수들의 설명이 product내의 help에 자세히 나와있어 사용하는데 큰 어려움이 없었다.Matrix 기반이므로 직접적으로 matrix variableinput하여 처리가 ... 하지만 line 20에서처럼 직접적으로 matrix 형식의 variableinput으로 넣어도 알아서 matrix의 성분들이 들어가 계산이 되는 것이 매우 편했다.높은 수준의 GUI를 ... 그리고 command의 결과가 바로 variable에 반영되므로 작업을 이어서 하기에 용이하다.Workspace에 현재 사용중인 variable들이 나타나므로 현재 variable들의
    리포트 | 5페이지 | 2,000원 | 등록일 2015.01.04
  • 2016년도 중앙대학교 전자전기공학부 3학년 1학기 전자회로설계실습 예비보고서 9장 Current-Steering 회로와 Differential Amplifier 설계
    이때 Differential voltage input은 v1-v2으로 정의한다.A_v = v_o over {v_1 - v_2} = 5.3735 over0.1 approx 53.735 ... 1대DMM1대N-channel enhancement MOSFET 2N7000 TO-92(Fairchild)4개Resistor : 100 kΩ 5%2개Resistor : 10 kΩ 5%2개Variable
    리포트 | 4페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 실수형 계산기
    #include #define PLUS 0#define MINUS 1#define MULTI 2#define DIVISION 3void init_port();void init_variable ... (); // 프로그램에서 사용하는 전역 변수 초기화while(1) {if (mode == 0) init_variable();number_to_display();display(); / ... count = 0;int cusor_seg_flag = 0;int number1[5];int number2[5];void main() {init_port(); // 포트 설정init_variable
    리포트 | 2,000원 | 등록일 2013.12.18
  • 고려대 전기회로실험 8주차(31, 32, 33) 예비보고서
    Variable, Input Coupling AC-GND-DC, Vertical MODE Switches, Time/Div., Vert.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.04
  • [논리회로실험] 실험6. ALU kit
    input_b를 각각 variable로 선언한다. ... 마지막으로 count를 할 cnt2도 variable로 선언해준다.필요한 input, output, 그 외 것들의 선언이 끝났으면 이제 본격적으로 코딩을 시작한다. process는 ... " =>tmp_out := input_a + input_b ;when "0001" =>tmp_out := input_a - input_b ;when "0010" =>tmp_out :
    리포트 | 25페이지 | 2,000원 | 등록일 2014.03.22
  • 31. Oscilloscope Operation(예비)
    Dual-trace 오실로스코프는 분리된 Variable 조정자를 각각의 채널마다 갖는다.Input Coupling AC-GND-DC : 이 3개의 위치를 갖는 스위치는 수직시스템에 ... Y input)이 된다. ... 조정자를 갖는다.X-Y Switch : 이 스위치가 작동될 때, Dual-trace 오실로스코프의 한 채널은 수평(or X input)이 되고, 남은 다른 하나의 채널은 수직(or
    리포트 | 5페이지 | 1,500원 | 등록일 2015.02.03
  • 심리통계 총정리
    변인(variable), 측정(measurement), 척도(scale)3. ... 사용하면 관계를 과소평가할 수 있음.잔차와 예측값(Y’)을 Plotting하여 무선적인 관계가 나타나지 않을 경우,비직선 회귀방정식을 적용해야 함방법 in SAS = data R;Input ... 변인(variable), 측정(measurement), 척도(scale)1) 측정(measurement): 측정대상이 지닌 속성들에 일관성있게 숫자를 부여하는 것(목적에 맞고 일관되게
    리포트 | 22페이지 | 8,500원 | 등록일 2017.04.23
  • 선박자동접안 프로그램 개념설계에 관한 연구
    In addition, the basic concept for program development was presented by analyzing the input elements ... the thruster output and output angle for berthing parallel to the pier, and the turning due to other variables
    논문 | 6페이지 | 4,000원 | 등록일 2024.02.12 | 수정일 2024.07.19
  • 논리회로설계실습-비교기-MUX-ALU-결과보고서
    우선 문법에 따라 패키지 내 선언과 같이 적어준 후 variable Sum을 5비트 std_logic_vector로 선언하였다. ... 이는 input이 4비트 입력을 받는 가산기능을 수행하여야 하기 때문에 [그림1]과 같이 선언하였다. ... 우선 function을 패키지 내 선언한 부분부터 보겠다. function 이름을 지정하고 input 변수(A_in, B_in)들을 std_logic_vector로 지정하였다.
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • 아주대 통합설계프로젝트1 전파테크(이해영교수님) 결과1 Wireless RF system and ADS advanced education
    But in this test, we designate an input with the variable which is a X. ... 40 to 10 dBm(2) Spec of designP_1Tone : Z=50ohm, P=polar(dbmtow(from -40 to 10),0), Freq=1GHz (Using variable ... So we can check the result by the input changing.
    리포트 | 12페이지 | 1,500원 | 등록일 2014.10.05 | 수정일 2017.08.03
  • assembly code 및 hand assemble 이해- register, ALSU, handassemble
    signalsignal als_out : std_logic_vector(4 downto 0) := "00000"; -- ALSU resultbeginprocess(reset, clk)variable ... : in std_logic_vector(7 downto 0); -- input of register A, Blda, ldb, ex : in std_logic; -- lda : load ... ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity alsUnit isport (reset : in std_logic;clk : in std_logic;input
    리포트 | 1,500원 | 등록일 2014.04.25
  • 실험 프로젝트-신호등 제작과정 보고서
    driving voltage is typically 4.6V by datasheet, thus we can control the LCD driving voltage using 10㏀ variable ... connected to the RESET switchBy datasheet : RESET pull down resistor is from 50㏀ to 300㏀For 5)For 6)7) 10㏀ Variable ... Hardware Specification1) Using MC7805CT Regulator, make 9V input voltage becomes 5V output voltage.By
    리포트 | 17페이지 | 4,000원 | 등록일 2014.08.18
  • 카이스트 Bioengineering Laboratory 1 lab4_prelab [Text Mining of Biological Literature]
    Dis_File.eof()){string line;getline(Dis_File, line);//for each paragraph, storage PMID in local variable.if ... PMID.begin(), line.begin()+6, line.end());sen_index=0;return PMID;}//function that get protein & GeneName as input
    리포트 | 9페이지 | 1,500원 | 등록일 2015.01.04
  • Power Supply for an Audio Amplifier
    When we input the high frequency, the variable resistor connected parallel with capacitor(22nF) dible ... For method of better efficiency, we should increase the input of power. ... Why does it have low efficiencyThe input power's equation is. The output power's equation is.
    리포트 | 7페이지 | 1,500원 | 등록일 2012.11.27
  • [디시설] 14bit Shift Reg & Counter 전북대 vhdl quartus
    ~clk_1k;endelsecnt_1k = cnt_1k + 1 ;endendmodule// SOC Kit의 Clock을 이용하여// Count Clock을 만드는 모듈 작성// Variable ... 클럭 발생 모듈module clk_gen(clk_25M, clk_1k);input clk_25M;output clk_1k;integer cnt_1k;reg clk_1k;always ... 증가시킴// clk_25M이 624999번 튈 때 마다// cnt_1k가 한 Clock 발생2. 14bit 카운트 모듈module counter_14bit(clk, rst, cnt);input
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.17 | 수정일 2016.07.16
  • Ch 1. Regulators and DC-DC Converters
    The regulating device is made to act like a variable resistor, continuously adjusting a voltage divider ... is limited and the input voltage must be high enough to always allow the active device to drop some ... Because the regulated voltage of a linear regulator must always be lower than input voltage, efficiency
    리포트 | 4페이지 | 2,000원 | 등록일 2013.10.31
  • 예비보고서 - 오실로스코프 동작, 신호발생기의 동작, 오실로스코프를 이용한 전압 및 주파수 측정
    .- Variable (가변조정) : 가변조정 단자는 화면상의 파형의 수직 높이를 미세 조정하기 위해 사용되며 시계방향 또는 반 시계방향의 끝에 CAL(교정위치)를 갖는다.- Input
    리포트 | 5페이지 | 1,000원 | 등록일 2017.11.08
  • 설계명세서(소프트웨어 공학)
    데이터베이스 설계 및 전역 변수 (Database Design And Global Variable)...........38p7장. ... .1이름데이터 입력내용요청된 데이터를 하위 모듈 B1.1, B1.2, B1.3으로 각각 전송하고 추출한다.인터페이스 설명void data_Input() {데이터 재분배 모듈(B.1.1 ... THEN정보출력 모듈로 (B.3)으로 전송END IFIF 에러 발생 THEN에러메시지 출력END IF}자료구조자료 이름자료형request_data정수형control_data정수형input_control_data정수형transform_control_data정수형output_control_data정수형번호B
    리포트 | 43페이지 | 3,000원 | 등록일 2016.10.12 | 수정일 2023.09.23
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:06 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기