• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(132)
  • 리포트(130)
  • 자기소개서(2)

"VHDL코드 보고서" 검색결과 1-20 / 132건

  • 전자공학과 논리회로 A 설계 프로젝트 보고서 (VHDL코드포함)
    -각 계산과정마다 overflow가 발생할 수 있으므로 overflow발생지점을 0으로 초기화 하였다.마지막 step에 대한 알고리즘 및 논리 설명2’s complement의 4bit
    리포트 | 6페이지 | 3,000원 | 등록일 2020.12.10
  • 전자공학과 논리회로실험 A+ 프로젝트 보고서 (VHDL코드포함)
    요구사항 달성 정도1) 설계측면에서 요구사항 달성 정도 -우리 조는 4*4 keypad모듈에서 정의한 A, B, C, D버튼을 이용하여 비밀번호 재설정 기능을 추가하기로 하였다.
    리포트 | 17페이지 | 3,000원 | 등록일 2020.12.17
  • 아주대학교 논리회로 / VHDL 설계 과제 보고서 (4 bits Gray to Binary code conversion)
    논리회로 설계 내용 및 동작 원리 설명A) 알고리즘4비트 그레이 코드를 4비트 2진 코드로 변환 하 는 일반적인 알고리즘은 다음과 같다 .1. 4비트 그레이코드를 입력한다.2. ... 따라서 각 4비트 그레이코드에 해당하는 2진코드를 확인할 필요가 있다. 이를 본 과제의 주제에 맞춰 진리표 형식으로 표현하면 다음과 같다. ... 출력된 B1와 G0 (LSB)의 입력값을 XOR 연산하고 B0 (LSB)에 출력한다.B) 진리표와 최소식위 방식은 그레이코드를 4비트 2진코드로 변환하는 일반적인 방법이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.29 | 수정일 2020.06.05
  • 키보드로 움직이는 VHDL 로봇팔 제안서,최종보고서,설계포트폴리오,소스코드[DE0보드 사용]
    3. 연구개발 내용 및 범위 먼저, 로봇의 기구부는 알류미늄, 아크릴, 포맥스 등의 작품을 완성하였을 시 내구성이 보장될 수 있도록 강도가 보장되지만 동시에 제작에 용이한 재질을 선택하여 로봇팔의 기구부를 설계한다. 몇가지 재질을 접해본 결과 ‘포맥스’라고 불리는 재..
    리포트 | 25페이지 | 10,000원 | 등록일 2021.02.19 | 수정일 2021.03.04
  • VHDL을 이용한 Digital Clock(디지털 클락) 코드보고서입니다.
    Countdown과 Additional로서 스위치를 통해 Digital Clock과 Countdown을 선택하고 또 다른 스위치를 누르는 동안 Pause 및 buzzer가 울리는 VHDL ... -----signal css0clk, css1clk, cs0clk, cs1clk, cm0clk, cm1clk : std_logic;---countdown의 각각 0.00초, 0.0초 ... -----signal css0cnt, css1cnt, cs0cnt, cs1cnt, cm0cnt, cm1cnt : std_logic_vector(3 downto 0);---countdown의
    리포트 | 13페이지 | 3,000원 | 등록일 2017.05.23
  • 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 내용(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드(2) vhdl 코드를 작성한 후 프로그래머를 위한 핀 할당(3) vhdl 코드에 대한 시뮬레이션4. ... 실습 내용 :(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all ... : std_logic;--클럭을 위한 변수이다.signal cnt: integer :=0;--잔상효과를 위한 변수이다.begin--클럭 진행을 위한 코드이다.process(clk,
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • VHDL 8층 엘리베이터 구현 코드보고서, 발표자료
    개발에 이용 된 Tool 들은 다음과 같다Modelsim 6.1b(VHDL 코드 작성 및 Function적 동작 검증에 이용)Quartus 4.0(Kit에 HDL 코드를 이식하기 ... Elevator Controller를 VHDL 코드로 작성, 그 동작을 검증 한 후 FPGA Kit 로 옮겨 Controller의 Function적 동작을 보여주는 것이 목적이다. ... 위한 합성 단계에 이용)UltraEdit(보다 용이한 코드 작성에 이용)SoCMaster-XP100(HDL 설계 자료를 구현하는데 이용)
    리포트 | 5,000원 | 등록일 2012.12.06
  • VHDL 계산기 소스코드보고서
    계산모듈을 통합하는 전체적인 최상위 모듈data_gen.vhd - 계산부분으 수행하는 sub 모듈LCD_test.vhd - LCD출력부분을 담당하는 모듈각각 모듈별로 파일은 3개지만 보고서 ... Sources & Results1) VHDL source주석문은 vhdl문 내부에서 한글로 선언시 에러 및 글자 깨짐현상이 있어서 서툴지만 영어로 작성하였습니다.calculator.vhd ... PUSH_SW1 : “-”DIP_SW(3:0), 아래쪽이 ON이상 기계장치에 대한 사전지식이었고, 나머지 사전지식을 앞서 실험했던 가산기 감산기의 내용을 그대로 이해하면 된다.그리고 다음으로 코드
    리포트 | 16페이지 | 4,000원 | 등록일 2010.07.09
  • [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    그리고 VHDL의 가장 큰 특징 중 하나인 concurrent 기능을 이해하여 concurrent 기능이 필요할 때와 sequntial 기능이 필요할 때를 구분하여 sequntial ... 이렇게 하면 코드가 간결해 지고 반복이 줄어들며 가독성이 좋아질 것이다. ... 이러한 과정에서 기본적으로 주어진 top_traffic, clock_divier, interval_counter 와 같은 신호등 컨트롤러 소스를 분석하고 이해하여 추가적으로 제시된
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [보고서+소스코드]아주대 디지털시스템설계 1차 프로젝트 VHDL이용한 학번설계
    (시간이 지난 것은 감점)● 소스코드① Main code② Test bench code● ModelSim 프로그램을 이용한 Testbench 코드 시뮬레이션① 0~900ns 까지 클럭의 ... (소스 파일과 보고서 파일 모두 한 파일로 압축하시오)(3) 파일은 e-class 과제 제출에 업로드 시킨다.(4) 제출 시간은 반드시 엄수한다.
    리포트 | 4페이지 | 3,600원 | 등록일 2014.10.05 | 수정일 2017.08.03
  • [보고서+소스코드]아주대 디지털시스템설계 2차 프로젝트 VHDL이용한 엘리베이터 설계
    VHDL를 사용하여 아래와 같은 제품을 포함한 elevator를 설계하라.설계 Spec• (1~5층 elevator 자유롭게 이동 가능해야 한다.)• (1~9명 탑승 가능 인원 초과 ... 정지 후 경보)• Elevator 내부에는 1~5층 버튼, 긴급 버튼이 있다.• Elevator 외부에는 상하 버튼이 각각 있다.1) State Diagram Design2) VHDL
    리포트 | 21페이지 | 5,000원 | 등록일 2014.10.05 | 수정일 2018.12.02
  • 디지털시스템설계실습 전감산기 결과보고서
    디지털시스템 설계 실습 2주차 결과보고서학과전자공학과학년3학번성명※전감산기 설계(진리표. 논리식. 동작표현)1. 전감산기 연산은 다음과 같다. ... 전감산기를 Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라.① 논리조합회로를 이용② if~then~elsif~end if형식2. ... 전감산기에 대해서 복습함과 동시에 VHDL로 설계하는 여러 방법들을 배울 수 있는 시간이었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • BCD 가산기 설계 결과보고서
    디지털시스템 설계 실습 7주차 결과보고서학과전자공학과학년3학번성명※BCD 가산기 설계1. ... BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다 일상생활과 디지털 연산은 기반으로 하는 수가 다르기 때문에 이 실습에서는 BCD로 입력되는 두 수를 더한 2진결과를 ... 감산기로 동작할 경우 빼는 수의 2의 보수를 취해서 더해야 한다.Verilog, VHDL ; 가산회로는 부호를 고려하지 않아도 되지만, 감산회로는 부호를 고려해야 한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    원리 파악, coding source 구상 및 검색, 계획 보고서 제출2주차 : 8-bit ALU - VHDL로 코딩 및 Xilinx FPGA tool VIVADO로 임시 시뮬레이션 ... Simulation 되는 VHDL source code를 제출한다.5. ... 동영상 제작주차별 계획1주차 : 계획 보고서 작성에 있어, 디지털 공학, 전자회로 과목 복습 및 VHDL 강좌 수강, 툴 설치(VAIVADO)_최신버전, 회로의 대략적인 구상과 동작
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    디지털논리회로실험예비 보고서[3주차]실험 3. Decoders and Encoders1. ... BCD (binary-coded decimal) 코드10진수의 각 자리를 4bit의 2진수로 표시하는 코드이다. 0~9에 대응하는 BCD 코드를 [그림 9]에 나타내었다. ... [그림 21][그림 22]4) 과정 4 : Priority encoder 의 동작 확인VHDL 코드는 다음과 같다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    디지털논리회로실험결과 보고서[3주차]실험 3. Decoders and Encoders1. ... 토의실험 1에서는 code converter를 구현하였다. ... 퀴즈 2번의 결과를 VHDL로 설계하고 FPGA로 구현하여 동작 확인VHDL 코드 및 FPGA를 통한 구현 결과는 아래와 같다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 논리회로실험) 7 segment 프로젝트 1 예비
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 & 엔코더1. ... 이는 A형, K형이라고도 불린다.- 7 세그먼트의 7개의 영역을 이용해서 숫자를 조합한 모습이다.(4) BCD(binary-coded decimal)- 이진화 십진법(Binary-coded ... 비록 VHDL은 C언어와 유사한 부분이 있어서 혼동이 갔고, 저번에 분명 배웠음에도 활용할 기회가 없어서 체감하지 못해 금방 잊었었던 것 같다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로설계 실험 디코더 인코더
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 & 인코더1. ... decimal)이진화 십진법(Binary-coded decimal, BCD)은 십진법 숫자를 이진법으로 표현된 비트들의 연속으로 표현하는 방법으로, 하나의 십진법 자리가 네 개의 ... 기능)을 수행하는 회로이다.(3) 7 segment컴퓨터는 2개의 반가산기를 전가산기와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.(4) BCD(binary-coded
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • VHDL과 FPGA 실습 키트를 이용한 묵찌빠 게임 [디지털논리회로 실험 Term Project]
    1. 서론 2016년 3월 알파고와 이세돌의 바둑 경기가 끝난 후 전 세계적으로 인공지능 붐이 일어나고 있다. 최근에는 4차 산업 혁명에서 핵심인 인공지능을 이용하여 스타크래프트 경기를 준비하고 있다고 들었 다. 세계적인 추세에 힘입어 우리는 묵지빠 게..
    리포트 | 28페이지 | 4,000원 | 등록일 2020.04.24 | 수정일 2020.04.27
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치 ... 체계를 다른 code 체계로 변환하는 논리 회로이다. ... 출력이 입력보다 적은 bit수를 지니는 code 변환 논리회로이다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대