• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,337)
  • 리포트(6,351)
  • 자기소개서(355)
  • 시험자료(344)
  • 방송통신대(249)
  • 논문(18)
  • 서식(12)
  • ppt테마(4)
  • 이력서(3)
  • 노하우(1)

"논리설계실험" 검색결과 181-200 / 7,337건

  • 인과관계 추론을 위한 실험설계논리
    실험과평가연구설계는 설득력 있는 인과적 추론의 근거를 제공한다. 실험설계(experimental design)는 더 큰 확실성과 더 큰 효율성을 제공한다. ... 연구절차가 추론을 하기 위한 논리적 요건을 충족하지 않았을 가능성은 언제나 있다. ... 게다가 실험자는 “실험통제(control)를 할 수 있다.
    리포트 | 1페이지 | 1,000원 | 등록일 2008.04.08
  • 논리회로실험 - 제 6장 VDHL의 순차회로 중 shift를 설계 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 6담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 151. ... 사항2)-shift register를 설계한다. ... Design(1)어떠한 회로를 설계할 것인가 1)1)Latch vs.
    리포트 | 15페이지 | 1,000원 | 등록일 2014.08.15
  • 디지털도어락(digital door lock)설계-논리회로설계실험
    프로그램 설명흔히 전자키로 쓰이는 digital door lock을 간단하게 설계해 보았다. ... Digital Door Lock중간고사 대체 Project 과제 : Digital Door Lock 설계1. ... 실제 모델 설계 시엔 error값에 따라 소리가 나거나 하게 만들면 유용할 것이라 판단되어 error값을 설정하였다.② open_door : 문이 열리게 하거나 열리지 않게 하는 값으로
    리포트 | 16페이지 | 4,000원 | 등록일 2009.10.23 | 수정일 2015.11.04
  • 논리회로실험 부울대수 및 조합논리회로 설계.hwp
    ◆ 제목실험 3. 부울대수 및 조합논리회로 설계◆ 목적(1) 부울대수의 공리 및 정리들을 공부한다.(2) 조합논리회로 설계방법을 공부한다.◆ 이론1. ... 따라서 이러한 역할을 하는 조합 논리 회로를 효과적으로 설계하는 과정을 살펴보면 다음과 같다. ... 조합 논리 회로 설계- 디지털 시스템을 구성하는 논리 회로는 크게 나누어 조합 논리 회로(Combinational logic circuits)와 순서 논리 회로(Sequential
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.19
  • [디지털논리회로실험]텀프로젝트 - Finite state machine과 counter를 이용한 메시지 전송기 설계(FPGA)
    실험과정1. 회로의 작동순서(FSM)2. 설계 전체 회로3. 사용 세부 회로 ... 실험목적- 한 학기 동안 직접 실습하며 배운 내용들을 모두 총합하여 하나의 결과물로 완성 시킬 수 있다.
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.06 | 수정일 2014.06.29
  • 논리회로실험 - 제 7장 3비트 updown counter로 binary와 gray 코드로 설계 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 7담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 221. ... IntroductionVDHL의 순차 논리 회로 설계에서 Mealy machine과 Moor machine을 이해하고 이를 3비트 up/down counter로 binary와 gray ... Design(1)어떠한 회로를 설계할 것인가 1)1)FSMFSM이란 Finite state machine의 약자로 일정한 천이 가능한 상태 내에서만 동작하는 순차 논리 회로이다.
    리포트 | 20페이지 | 1,000원 | 등록일 2014.08.15
  • 논리회로실험 - 제 3장 4bit Carry Lookahead Adder를 이용한 가산기 설계 결과 보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 3담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 4 / 101. ... 설계한 후 이론값과 결과값을 비교하여 설계가 제대로 되었는지 확인해본다.2. ... Design(1)어떠한 회로를 설계할 것인가-4bit Carry Lookahead Adder1)우리가 오늘 설계할 가산기이다. 크게 세 가지로 나눠서 설계를 해야한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 디지털논리회로실험 예비리포트 3. 부울대수 및 조합논리회로설계
    디지털논리회로 실험 자필 예비리포트3. 부울대수 및 조합논리회로설계다운 받아보시면 아시겠지만모든 예비리포트가 10점 만점에 10점 또는 11점(가산 1점 포함)짜리입니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.11.08
  • [전기전자기초실험] 기본 논리 게이트 설계 실험 예비보고서
    학 과학 년학 번분 반실험조성 명전기전자공학2학년전기전자공학2학년① 게이트들을 트랜지스터로 구현하는 방법- 게이트들을 트랜지스터로 구현하는 방법? ... OR 게이트 : 두 개의 트랜지스터 입력단자에서 결합되는 네 가지 조합에 대하여 논리합과 동일한 결과를 출력한다.OR 게이트회로도? ... 모놀리틱 바이폴러 반도체 기술을 이용하여 만들어지는 포화형 논리회로의 IC이며, 표준의 74 시리즈를 비롯하여 각종의 용도에 쓰이는 family를 증가시켜 여러 family가 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.07.29
  • 제7장 기본 논리 게이트 설계 실험(결과)
    실험과 결과 측정표 7-3 AND 게이트 실험 결과AB①②③A ? ... B)이 된다.)표 7-4 OR 게이트 실험 결과AB①②③A ? ... (즉값은 ②결과 값과 같다.)표 7-6 NAND 게이트 실험 결과AB①②③001101011101101101110010그림 7-11의 회로를 분석해 보면 ①은 NAND값을 나타내고,
    리포트 | 8페이지 | 1,500원 | 등록일 2008.12.29
  • 논리회로설계실험 1bit 비교기, 4bits 비교기
    < 1bit 비교기, 4bits 비교기>1. HDL 코드 1) 1bit 비교기 : Behavioral Modeling동작 : 두 입력이 서로 같은지 또는 다른지를 비교하여 알려주는 회로.1비트 비교기는 두 입력이 같으면 ‘1’을 출력하고, 다르면 ‘0’을 출력하는 회로..
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • [전기전자기초실험] 기본 논리 게이트 설계 실험 결과보고서
    그 이유는 트랜지스터로 쉽게 만들어지며 모든 논리함수가 NAND와 NOR 게이트의 조합으로써 쉽게 설계가 가능하기 때문이다.④ 게이트의 팬인(fan-in)과 팬아웃(fan-out)에 ... 학 과학 년학 번분 반실험조성 명전기전자공학2학년전기전자공학2학년6) 실험과정 및 결과측정- AND 게이트 실험ABA? ... 논리 회로에서 한 게이트에 들어가는 입력선의 개수로 수학적으로 2진 함수는 입력 변수의 개수에 제한이 없으나 실제로는 8∼10개가 한계이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.07.29
  • 논리설계실험 chap 04 shift register
    틀은 3개의 shift 모두 위의 형태의 Flip-Flop 형태로 제작한다.단 shift의 종류에 따라서 Flip-Flop의 설계 값이 차이가 난다.가장 우선적으로 설계해야 될 꺼는 ... 우선적으로 자신이 설계하고자 하는 clock을 설정하고 변화 값을 넣어 주어야 된다. ... 내부 signal 없이 port만으로 shift를 설계하려하면 port에서는 저장하지 않기 때문에 문제가 발생한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2008.10.26
  • [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    세부적인 계획은 우선 주어진 기본 신호등 동작 소스를 완벽히 이해하여 그것들을 응용하여 우리가 만들고자 하는 추가적인 기능들을 설계할 것이다.
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 기본 논리 게이트 설계 실험 예비보고서
    이것은 마치 교차로 신호등의 황색등과 같이 서로의 안전을 위해 모두 동작하지 않는 구간이 있는 것과 비슷하다고 볼 수 있다.⇒ TTL의 논리레벨 (74LS 시리즈)다음으로 TTL의 ... 가장 이 상적인 것은 입력핀에 흐르는 전류는 되도록 작고, 출력핀에는 큰 전류를 흐르게 하는것 이 바람직하지만, 이 역시도 한계가 정해져 있다.TTL의 입력핀이 H레벨일 때에는 논리 ... 레벨을 공급하는 쪽(다른 게이트의 출력판)에서 입력핀을 향해 약간의 흡수전류(74LS시리즈 경우 29㎂ 이하)가 흐른다.TTL의 입력핀이 L레벨일 때에는 논리 레벨을 공급하는 쪽을
    리포트 | 5페이지 | 1,000원 | 등록일 2007.10.09
  • [정책평가론]준실험설계의 분석 - 논리와 장, 단점
    구체적인 준실험적 평가 방법에는 시계열분석, 다중 시계열분석, 이질 통제 집단 분석 등이 있다.이에 준실험설계의 기본논리와 장, 단점을 설명하고자 한다. ... 준실험설계의 의의와 종류1. 준실험설계의 의의2. ... {구 분특 징장 단 점비실험설계실험· 통제집단과 실험집단의 구분 없이 실험집단에만 정책처리를 하는 실험으로, 비교집단이 최초 실험설계시 없다.1 정책실시 전후 비교방법,2 사후
    리포트 | 7페이지 | 2,500원 | 등록일 2009.04.14
  • 실험설계의 기본논리와 장단점을 설명하시오0k
    (정책평가론)준실험설계의 기본논리와 장단점을 설명하시오0k행정학과 정책평가론4 D형마이클 스크리븐은 평가연구에 있어서 “철학의 아버지”로 불린다. ... 다른 학교로 배치하는 것이 어렵다면 최소한 바로 옆 반이 되어서는 안된다3) 준실험설계의 기본논리실험적 방법에서처럼 실험집단과 통제집단의 동질성을 확보하는 무작위배정에 의한 통제를 ... 준 실험적 방법 의의와 기본논리1) 준실험적 방법의 의의실험적 방법에서와 같은 통제된 실험은 정책의 효과를 기타 효과와 분리함으로써 인과적 효과를 밝히는 것을 가능하게 하지만, 앞서
    리포트 | 4페이지 | 3,000원 | 등록일 2010.03.17
  • [논리회로설계실험]논리회로설계실험 제 10장 Multiplexer와 Demultiplexer
    논리실험기 (Digital Logic Lab. ... 실험 목적멀티플렉서와 디멀티플렉서를 구성하여 각각의 기능 및 동작원리를 실습을 통하여 이해한다.2. ... [그림 10-2] 1×2 디멀티플렉서⒜ 블록도InputOutputAS0000101001001101⒝ 진리표⒞ 논리회로3. 사용 기자재 및 부품?
    리포트 | 5페이지 | 2,000원 | 등록일 2005.04.09
  • [논리회로설계실험]논리회로설계실험 제 9장 Encoder와 Decoder
    [그림 9-4] 10진 to BCD Decoder 논리회로3. 사용 기자재 및 부품?논리실험기 (Digital Logic Lab. ... 실험 목적각종 인코더와 디코더를 구성하여 인코더와 디코더의 기능 및 동작원리를 실습을 통하여 이해한다.2. ... 실험 순서⑴ 4 to 2 Line Encoder① 7432칩을 이용하여 (그림 9-5)와 같이 회로를 구성하고, 각각의 입력에 따른 출력값을 (표 9-1)에 기록하라.
    리포트 | 8페이지 | 2,000원 | 등록일 2005.04.09
  • 논리회로실험 - 제 10장 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계 결과보고서
    이번 실험은 VHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이었다 ... IntroductionVHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이다 ... . 7-segment에 대해서 이해해보았고, 이를 출력으로 이용하여 디지털 시계를 설계해보았다.
    리포트 | 32페이지 | 1,000원 | 등록일 2014.08.15
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:10 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대