• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,337)
  • 리포트(6,351)
  • 자기소개서(355)
  • 시험자료(344)
  • 방송통신대(249)
  • 논문(18)
  • 서식(12)
  • ppt테마(4)
  • 이력서(3)
  • 노하우(1)

"논리설계실험" 검색결과 81-100 / 7,337건

  • 논리회로설계실험 기본게이트설계 예비보고서
    논리회로설계 실험 예비보고서 #1실험 1. ... 기본게이트 설계실험 목표Xilinx 프로그램을 사용하여 2개의 입력(X, Y)을 가진 AND, OR 게이트를 동작적 모델링과 자료 흐름 모델링 방식으로 작성해 본다. ... 설계 가능 논리 소자는 Hyperlink "https://ko.wikipedia.org/w/index.php?
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • [논리회로설계실험]Decoder와 Encoder설계
    실험 내용1) 3x8 Decoder - Data Flow Modeling 아래 진리표와 같은 값을 갖도록 Decoder를 설계하라.2) 3x8 Decoder - Behavioral ... Modeling(case문 사용) 동일한 진리표를 사용하여 설계하라.
    리포트 | 7페이지 | 1,500원 | 등록일 2015.07.07
  • 논리회로설계실험 Memory 예비보고서
    논리회로설계 실험 예비보고서 #6실험 6. ... 핀 이름을 R/W와 같이 표기 하며 핀의 논리 값이 High일 때는 칩에서 데이터를 읽어내는 동작을, Low 일 때는 칩에 데이터를 써넣는 동작을 하는 것을 의미한다. ... 조합 회로 설계-MEMORY예비 이론메모리의 구조메모리란 데이터를 축척 기억함과 동시에 차후에 필요할 때 꺼내어 이용할 수 있도록 한 장치를 말한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 ... FSM을 디지털 하드웨어로 설계하는 경우에는 state 변수를 기억하는 레지스터 블록과 state 변수의 천이를 표현하는 함수와 출력 값을 결정하는 함수를 위한 조합 논리 회로의 영역으로 ... 따라서 stopwatch 구현 실험에서 스위치, reset 버튼을 사용하여 동작을 제어 할 수 있는 분, 초,초의 시간을 표현하는 stopwatch VHDL 코드를 작성해 보고 RoV-Lab3000을
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 논리회로설계실험 FlipFlop Register 예비보고서
    논리회로설계 실험 예비보고서 #7실험 6. 조합 회로 설계- Flip-flop, Register실험 목표Latch와 Flip-flop 그리고 레지스터에 대하여 알아 본다. ... 논리기호회로도VHDL 코드소스코드테스트 벤치 코드Waveform실험 2. ... 여기서 공동의 CLK열에 연결된 Flip-flop의 수는 시프트 레지스터의 길이를 결정한다.실험 내용실험 1.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • [논리회로설계실험]커피자판기설계
    커피자판기(FSM 설계)1. 실험 내용1) 다음 상태도와 동작에 따라 커피자판기를 설계하시오.① 상태도② 동작 설명? 전원이 인가되고 Recet이 되면 "00"상태로 존재? ... 실험 결과1) D FlipFlop1-1) Sourcelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity fsm isport ( clk : in std_logic
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 논리회로설계실험 FlipFlop Register 결과보고서
    논리회로설계 실험 결과보고서 #7실험 7. ... 스위치를 사용한 LED등을 작동시키는 실험을 해 보았다. ... 이 과정을 case문을 통하여 나타내었으며 출력 결과는 2-2)-(1)과 같다.세 번째 DIP Switch를 이용하여 단일 Segment 16진수 출력 실험에서는 첫 번째 실험과 유사하게
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 디지털실험설계 예비(논리게이트)
    디지털 논리실험설계#1 기본 논리 게이트 (예비)담당교수님 : 교수님제출일자 : 2015. 03. 16조 :학번 :이름 :1. ... 실험 이론기본논리게이트란 디지털 회로를 만드는 데 가장 기본적인 요소이다. 입력에 따른 출력을 나타내는데 서로 다른 전압으로 표현하면 전압이 높음(1), 낮은(0)으로 나타낸다. ... 부논리의 경우에는 정논리와 반대로 높은 전압 레벨을 ‘0’으로표시하고 낮은 전압 레벨을 ‘1’로 표시한다.그림0)은 각 게이트에 해당하는 논리를 표로 정리해 본 것이다.정논리논리7400
    리포트 | 10페이지 | 1,500원 | 등록일 2015.12.05
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    논리회로설계 실험 설계프로젝트라인트레이서1. 실험 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 수행하였다. ... 그러나 이번 실험에서는 bit 파일이 아닌 mcs 파일을 PROM 설계하여 수행한다는 점에서 하드웨어 작동 방식이 차이가 있다. ... 이번 실험에서는 스텝모터 작동원리(1상, 2상, 1,2상 여자방식 설계)와 라인트레이서의 작동에 대하여 이해하고 적외선 센서의 작동 원리에 대하여 이해한다.
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 논리회로설계실험 프로젝트 라인트레이서
    논리회로설계 프로젝트 설계 보고서1. ... 설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로를 설계한다.line tracer 가 적외선 센서를 이용해 흰 줄을 따라 이동하도록 하는 것이 ... 일반적으로 사용자가 데이터를 써 넣을 수 없으며 언제든지 다시 사용할 수 있다.이번 실험에서는 ROM중에서도 프로그래밍이 가능한 PROM을 이용해 프로그래밍 한다.■ PROM(Programmable
    리포트 | 13페이지 | 2,000원 | 등록일 2015.04.17
  • 논리설계실험 전자시계 결과보고서
    002분반(화요일)논리회로 설계실험23조 Term Project 결과 보고서(전자 시계)Dept. of Computer Science & Engineering/Pusan National ... Project 전자시계 제작에 관한 보고서로 Project의 최종 결과 및 Project 작동 법 사용한 회로 등을 설명해둔 문서이다.목표FPGA BOARD와 FLOWRIAN을 이용한 논리 ... 회로(디지털 시스템) 설계FLIP-FLOP과 REGISTER등을 이용하여 전자시계에 필요한 기능(Counter,분주기 등) 구현 및 최종 목표인 전자시계 구현매뉴얼FPGA보드 기능현재
    리포트 | 9페이지 | 2,000원 | 등록일 2016.11.28
  • 논리회로설계실험 반가산기전가산기설계 결과보고서
    논리회로설계 실험 결과보고서 #2실험 2. 조합 회로 설계-전가산기실험목표전가산기의 동작을 이해하고 진리표를 작성해 본다. ... **동작적 모델링 소스 코드자료 흐름 모델링 소스 코드구조적 모델링 소스코드테스트 벤치 코드Schematic DesignWave Form고찰A6_주상욱이번 실험에서 설계할 전가산기의 ... 또한 Schematic design을 이용하여 전가산기의 논리회로를 구성해 본다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로설계실험 반가산기 전가산기설계 예비보고서
    논리회로설계 실험 예비보고서 #2실험 2. 조합 회로 설계-반가산기실험 목표반가산기의 작동을 이해하고 진리표를 작성하여 논리식을 구하여 본다. ... 반가산기를 Behavioral modeling, Dataflow modeling, Structural modeling 방식으로 코딩하여 시뮬레이션 결과를 확인한다.반가산기진리표논리식과 논리회로논리논리회로소스코드동작적 ... 일반적으로 산술 연산에서는 여러 자리의 이진수 덧셈이 필요하기 때문에, 이러한 경우 전가산기를 사용한다.실험 내용실험1.
    리포트 | 7페이지 | 1,000원 | 등록일 2018.01.10
  • 디지털실험설계 결과1(논리게이트)
    디지털 논리실험설계#1 기본 논리 게이트 (결과)담당교수님 : 교수님제출일자 : 2015. 03. 23조 :학번 :이름 :1. ... 비교적 간단하고, 단순 반복인 실험이었지만, 논리 게이트를 직접 구성하고, 측정함으로써 논리 게이트의 동작 특성을 이해할 수 있었다.- 이번실험에서는 칩을 사용하는 법, 데이터시트 ... 실 험 결 과(1) 2 입력 NOR, XOR 게이트입력NOR (d)XOR (e)AB논리논리0*************00회로(d)는 NOR게이트로 실험에서 7402를사용하였다.
    리포트 | 5페이지 | 1,500원 | 등록일 2015.12.05
  • 논리회로실험 설계 보고서
    1 논리회로실험설계과제·REPORT전자공학도의 윤리 강령 (IEEE Code of Ethics)`(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 ... 한 설계에 대한 논리 연산 및 타이밍 동작은 시뮬레이션 될 수 있다.2. ... 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2012. 12. 17과목명: 논리회로실험
    리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 논리회로설계실험 ALUkit (결과보고서)
    Conclusion이번 실험은 이전에 설계하였던 ALU회로를 사용하여 키트에 직접 적용시키는 회로였다. ... -Describe how you solved먼저 ALU의 경우는 이전 실험에서 설계하였던 회로를 약간 변경하여 사용을 하였다. ... 이것은 산술연산과 논리연산을 하는 유닛이다.외국어 표기Arithmetic and Logic Unit(영어)ALU arithmetic and logic unit 산술 논리 연산 장치(
    리포트 | 20페이지 | 1,000원 | 등록일 2015.08.25
  • [논리회로실험] 실험1. 기본 게이트 설계
    과 목 : 논리회로설계실험과 제 명 : 실험1. ... 기본 게이트 설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.03.24IntroductionModelSim 프로그램을 통해 '논리회로' 시간에 ... 실험에 사용할 게이트는 총 3개로 AND 게이트, OR 게이트, XOR 게이트를 사용한다.AND 게이트는 이름에서 알 수 있듯이 논리연산 AND를 수행하는 게이트로 2-입력 AND
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.22
  • 논리설계실험텀프로젝트 전자시계 제안서
    002분반(화요일)논리회로 설계실험23조 Term Project 제안서 (전자시계)Dept. of Computer Science & Engineering/Pusan National ... 회로(디지털 시스템) 설계FLIP-FLOP과 REGISTER등을 이용하여 전자시계에 필요한 기능(Counter,분주기 등) 구현 및 최종 목표인 전자시계 구현과제 내용시계 : 현재 ... 약Term Project 전자시계 제작에 관한 보고서로 Project의 목표와 달성 과제, 추진 일정과 방법 등을 설명해둔 문서이다.목표FPGA BOARD와 FLOWRIAN을 이용한 논리
    리포트 | 6페이지 | 2,000원 | 등록일 2016.11.28
  • 아주대학교 논리회로 실험 설계 예비보고서
    논리회로 실험설계설계주제:스톱워치목차1. 설계 목표2. 동작 조건3. ... 설계 목표- FPGA를 사용하여 자유 주제로서 스톱워치를 설계한다. ... part(4) Segment output control part(5) Switch part(6) 총 설계 회로5.
    리포트 | 10페이지 | 2,000원 | 등록일 2016.06.14
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    [설계 Project. Up/Down Counter 설계]? 실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1. ... 실험 준비물 & DataSheetQuartus Ⅱ 64-bit, DEO-NanoFND 1개[실험에 쓰이는 IC의 Data Sheet]7448 (BCD To 7-Segment)7490 ... 하지만 설계시 제한점으로, 오직 7개의 line밖에 사용할 수 없음을 유념해야 한다.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대