• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,337)
  • 리포트(6,351)
  • 자기소개서(355)
  • 시험자료(344)
  • 방송통신대(249)
  • 논문(18)
  • 서식(12)
  • ppt테마(4)
  • 이력서(3)
  • 노하우(1)

"논리설계실험" 검색결과 21-40 / 7,337건

  • 논리회로설계실험 10주차 up down counter설계
    1) Objective of the Experiment(실험 목적)이번 실습에서는 3-bit up-down counter를 Moore machine, Mealy machine으로 구현한다 ... 강의내용에서 다룬 두가지 machine의 기본적인 modeling방식과 작동원리를 참고하여 설계할 것이다. ... Moore machine으로 설계한 module은 OUT이 현재의 State에만 의존하므로 바로 바뀌지 않고 Clock의 Positive edge에서 OUT이 바뀌는 모습을 관찰할
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • 논리회로설계실험_디코더/엔코더 레포트
    논리회로설계 실험 결과보고서 #4실험 4. 디코더, 엔코더1. ... 실험 결과- 실험 1.2x4 디코더를 설계하시오.1) 진리표InputOutputA _{1}A _{0}Y _{3}Y _{2}Y _{1}Y _{0}0*************0100111000Y ... 실험 목표디코더와 엔코더의 원리를 이해하고 2x4 디코더와 4x2 엔코더를 응용하여, 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션을
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • [논리회로설계실험]VHDL을 통해 구현한 RAM
    방식으로 설계하여본다.2. ... Discussion1)예측과 실제 실험 결과 대조 분석다음은 출력값의 실험갑과 예측값을 대조하였다.Timedo예측값0ns~63nsUU65ns1111111173ns1111111175ns1110111083ns1110111085ns1101110193ns1101110195ns11001100103ns11001100105ns10111011113ns10111011115ns00110011123ns00110011125ns ... 1.목적(Purpose)이번실습은 ROM과 RAM의 차이에 대해서 알고, 실습으로는 RAM을 설계하는 실습이다.
    리포트 | 15페이지 | 2,000원 | 등록일 2021.06.26
  • (디지털 회로실험)8421 Encoder의 논리회로 설계
    디지털실험설계 01.실험제목 : 8421 Encoder의 논리회로 설계설계과정Encoder의 기능을 익히고, 부호변환 회로의 설계방법을 익힌다.조건 : 디지털 논리소자를 이용하여 ... 디지털 논리소자를 이용한 회로도를 설계한다.Maxplus Ⅱ 프로그램을 이용해 회로를 구성한다.8421인코더는 논리소자 OR게이트로 구성된다는 것을 알 수 있다. ... 이렇게 하면 4비트씩 끊어서 해석할 수 있기 때문에 편리하다.Ⅲ 설계?
    리포트 | 5페이지 | 1,500원 | 등록일 2020.08.18 | 수정일 2022.02.16
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    논리회로설계 실험 설계과제 보고서주제 : #2 STOPWATCH 설계1. ... 즉 순차회로는 조합회로와 메모리를 합친 것이다.이는 순서논리회로, 프로토콜, 컴퓨터, 컴파일러 등의 동작을 표현, 이해, 설명하고 설계하기 위한 체계적이고 수학적인 방법의 틀을 제공한다 ... 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 논리회로실험 프로젝트 2, stop watch 설계
    논리회로설계실험 프로젝트 #2Stop watch 설계1. ... 설계 목표BCD, 7 segment, 카운터, Debouncing 등에 대해 조사해보고, 지금까지 학습해왔던 논리회로 설계기술을 이용하여, 분 : 초 : 1/100초를 나타내고 리셋기능과 ... 일시정지가 가능한 Stop Watch를 설계한다.
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • [논리회로설계실험] Mux, Demux (dataflow/gatelevel modeling) (성균관대)
    실험 목표Mux와 Demux의 개념을 이해하고 이를 바탕으로 1:4 Mux와 4:1 Demux를 각각 Dataflow, Gatelevel 형식으로 구현한다.• MUX, DEMUX ... Mux는 여러 입력선 중에서 하나를 선택하여 출력선에 연결하는 ‘조합 논리 회로’로 선택선의 값에 따라 한 입력선을 선택한다. 일반적으로 입력선이 n개 있을 때 선택선은 log2
    리포트 | 8페이지 | 1,500원 | 등록일 2024.08.29
  • 논리회로설계실험_비교기,MUX,ALU 결과레포트
    논리회로설계 실험 결과보고서 #3실험 3. 비교기_MUX_ALU1. 실험 목표비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. ... 실험 결과- 실습 1 1bit, n bit 비교기 설계동작적 모델링자료 흐름 모델링진리표에 따라 작성해보자. ... 비교기 mux demux alu를 직접 설계해보는 시간이었는데 저 중 익숙한 것은 비교기와 mux밖에 없었다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. ... 실험 내용- 실험 1. 3x8 디코더를 설계하시오.(1) 진리표Y _{0} =A prime `B _{prime } prime `C` primeY _{1} =A prime `B _{prime ... 실험 목표디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 디지털 논리회로 실험설계 4주차 예비보고서
    디지털 논리실험설계 4주차 예비보고서실험 준비1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.부호기는 4개의 입력값 중에 1이 단 1개만 있어야하는 반면에 멀티플렉서는 ... 실험 결과S1S0D3D2D1D0Y11*************0001001110011000001001111011110012.1 기본실험 (1)E는 ActiveLOW이기 때문에 1을 입력해주는 ... [그림 4]를 분석해보면 이다.에 대해서만 보면 week3에서의 decoder실험에서와 유사하며 일 때, 의 값이 살고 나머지는 0이 되어 가 가 된다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 텀프로젝트 디지털논리실험설계 [ 주차장 카운트 ] A+자료
    6조 Term Project 보고서과목명디지털회로 실험설계재료비약 8만원1. ... (6주차 실험)74LS47은 A[3:0] 핀으로 입력받은 4비트 BCD 값에 따라, a~g 핀 중 특정핀으로만 전류를 흐르게 한다. ... 시뮬레이션 및 실험결과 분석처음 시뮬레이션을 완성했을 때와 브레드보드에 구현했을 때의 차이점은 캐리를 0으로 두지 않았을 때에 차이가 발생했다.
    시험자료 | 19페이지 | 6,500원 | 등록일 2023.12.15
  • 실험(1)디지털 논리회로 프로젝트 Door-Lock 설계
    2018년 1학기 실험(1): 디지털 논리회로12018년Final Project1목차1. Door-Lock Project 설계 지시사항32. 설계 준비413. 설계 아이디어64. ... 설계 방법85. 전체 회로도96. 전체 설계 평가131. 실험(1) 프로젝트 : Door-Lock 설계지시사항(1) 총 3개의 7-Segment LED가 사용된다. ... 설계 방법Button(1) ButtonDoor-Lock 회로에서는 Reset, Input, Confirm, Complete 총 4개의 Button을 사용한다.
    리포트 | 14페이지 | 5,000원 | 등록일 2020.01.03 | 수정일 2020.10.07
  • 광운대학교 전기공학실험 실험6. 논리조합회로의 설계 결과레포트 [참고용]
    실험논리조합회로의 설계2. 실험 개요논리게이트 조합을 통해 보다 복잡한 논리적 함수관계를 구하는 연습을 진행하며, 이를 통해 논리함수를 효율적으로 단순화 시킨다. ... 또한 조합논리회로 설계를 직접 해보며 가산기의 회로를 구현하고 반가산기와 전가산기의 기본 동작을 이해함으로써 논리회로 조작능력을 함양한다.3. ... 결과가 설계요구조건에 부합하는지 확인하라.설계논리 다이어그램만능기판구성 (아무도 안 누를 때)한 명만 누를 때 두 명이 누를 때세 명이 누를 때네 명이 누를 때분석:입력이 2개
    리포트 | 9페이지 | 1,500원 | 등록일 2024.01.02
  • 광운대학교 전기공학실험 실험6. 논리조합회로의 설계 예비레포트 [참고용]
    실험논리조합회로의 설계2. 실험 개요논리게이트 조합을 통해 보다 복잡한 논리적 함수관계를 구하는 연습을 진행하며, 이를 통해 논리함수를 효율적으로 단순화 시킨다. ... 논리게이트의 설계: 논리회로를 설계해야하는 문제가 주어지면 “어떠한 조건에서 어떤 동작을하는 회로를 구현해라.”로 주어지며, 이를 표로 나타내면 진리표가 된다. ... 또한 조합논리회로 설계를 직접 해보며 가산기의 회로를 구현하고 반가산기와 전가산기의 기본 동작을 이해함으로써 논리회로 조작능력을 함양한다.3. 이론 조사3-1.
    리포트 | 12페이지 | 1,500원 | 등록일 2024.01.02
  • [A+, 에리카] 2022-1학기 논리설계실험 Breadboard 및 기본 논리 게이트 실험 결과보고서
    Cathode 모드로 두고 실험을 진행하며, 출력이 논리1 일 때 led가 점등되고 anode모드일 경우에는 출력이 논리 일 때 0 led가 점등된다.- ED-1000의 +5V단자와 ... 부분과 브레드보드의 부분을 연결하여 전원을 공급한다.- ED-1000의 input data 스위치는 High이면 1, low이면 0을 입력한다.Boolean Algebra이진 변수의 논리
    리포트 | 9페이지 | 2,500원 | 등록일 2023.02.28 | 수정일 2023.03.04
  • 논리회로설계실험_반가산기/전가산기 결과레포트
    논리회로설계 실험 결과보고서 #2실험 2. 조합회로 설계1. 실험 목표반가산기와 전가산기에 대해서 이해하고, 반가산기와 전가산기를 세 가지 모델링 방법으로 설계한다. ... 또한, Schematic Design으로 반가산기과 전가산기의 논리회로를 그려보고 시뮬레이션을 통해 논리회로가 제대로 그려졌는지 확인해본다. ... 실험 결과- 실험 1.
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • [A+, 에리카] 2021-1학기 논리설계실험 디지털IC 개요, 조합논리회로 실험결과보고서
    특히 논리적이고, 계산이 가능한 쉬운 모델 로 설계가 용이하기에 아날로그보다 디지털을 이용하여 대부분의 설계가 이루어짐을 알 수 있다.  회로의 종류 - 논리회로 : 논리 게이트를 ... 이용하여 구성된 회로이다. - 조합논리회로 : 오로지 입력에 의해서만 출력이 결정되며 따로 메모리를 갖고 있지 않은 회로이다. - 순차논리회로 : 입력과 현재의 상태에 의해 출력이 ... 결정되며 조합논리회로와 달리 메모리 에 회로의 상태를 저장하는 회로이다.  Boolean Algebra(부울 대수) 이진 변수의 논리 동작을 다루는 산술연산 ① Boolean product
    리포트 | 11페이지 | 2,500원 | 등록일 2023.02.28
  • [A+, 에리카] 2021-1학기 논리설계실험 Register 실험결과보고서
    실험 목적register에 대해 이해하고 이를 회로로 설계할 수 있다.Chapter 2.
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 디지털 회로 실험설계 - 기본 논리 게이트(Gate) 및 TTL, CMOS I.F 실험 2
    디지털회로실험설계 결과 보고서 #1( 기본 논리 Gate 및 TTL, CMOS I/F 실험 )과 목담당교수제 출 일학 번이 름1. ... NOT을 이용한 XOR 설계이론값)ABX000011101110실험결과)A=0, B=0 A=0, B=1A=1, B=0 A=1, B=1ABX출력전압0000.2V0114.5V1014.5V1100.3V결과분석 ... 회로도, 이론값, 실험결과실험 1) 전압 Level 측정실험 : 입력전압 변화에 따른 출력전압의 상태를 측정하고 기록하시오.이론값)입력전압0.0V0.5V1.0V1.5V2.0V2.5V3.0V3.5V4.0V4.5V5.0V출력전압4.4V4.4V3V0V0V0V0V0V0V0V0V논리레벨HHLLLLLLLLL실험결과
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 홍익대 디지털논리실험설계 6주차 예비보고서 A+
    디지털 논리실험설계 6주차 예비보고서1. ... 실험 준비1.1 ALU 74181의 datasheet을 읽고 네 자리 이진수의 덧셈을 74181을 이용하여 어떻게 구현할 수 있는지 설명하시오.ALU는 산술 논리 연산 장치로(Arithmetic ... 따라서 두 개의 네 자리 이진수를 입력한 후 XOR 논리 연산을 수행하여 출력값이 0000이 나오는지 확인하는 방법을 이용한다.실험 준비 (1)에 첨부한 사진을 보면, XOR 연산을
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대