• 통큰쿠폰이벤트-통합
  • 통합검색(7,289)
  • 리포트(6,321)
  • 시험자료(344)
  • 자기소개서(339)
  • 방송통신대(250)
  • 논문(15)
  • 서식(12)
  • ppt테마(4)
  • 이력서(3)
  • 노하우(1)

"논리설계실험" 검색결과 221-240 / 7,289건

  • 한양대 Decoder & Encoder
    실험 목적디코더 (Decoder)와 엔코더 (Encoder)의 동작 원리를 이해할 수 있고 Decoding과 Encoding의 코드 변환 동작에 대해 실험하는 목적을 지니고 있다. ... 관련 이론Encoder는 부호기라는 뜻으로, 10진수를 binary 2진수로 암호화 시켜주는 논리 회로이다. ... Encoder과 반대로 암호화 시키는 것이 아닌, 2진수로 되어 있는 데이터를 복원시키는 논리 회로이다. n개의 입력과 2^n개의 출력으로 구성되어 있다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • 한양대 Half adder & Full adder
    실험 목적OR, NOT, AND, XOR 등 다양한 gate들을 활용해 반가산기의 회로를 구성해본다. ... 또한, 반가산기 두 개로 전가산기를 만들 수 있다는 특성을 이용해 설계한 뒤 회로에 구성해보고 Truth Table을 확인해본다. Chapter 2. ... 항상 동일한 결과를 도출해내며 결과의 재생산성이라는 특징을 지니고 있고, 설계가 다른 무엇보다 용이하다. 빠른 스위칭과 연산으로 속도도 매우 빠른 등 많은 장점이 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • 한양대 디지털 IC 개요 및 조합논리회로
    실험 목적Truth Table을 통해 Karnaugh Map (K-map)을 작성해본다. ... 작성한 K-Map을 통해 Logic Circuit을 AND, OR, NAND 등 GATE를 그린다.Logic Circuit을 통해 회로에 직접 설계해보며 입력 데이터를 각각 다르게 ... 관련 이론조합논리회로는 현재 입력에 따라 출력이 항상 똑같이 결정되는 논리회로를 뜻한다. 이와 반대로, 순차논리회로는 현재 입력 뿐만 아니라 이전 입력에도 영향을 받는다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • 홍익대_디지털논리회로실험_2주차 예비보고서_A+
    디지털 논리실험설계 2주차 예비보고서실험 준비1.1 NAND 7400 게이트, NOR 7402 게이트, XOR 7486 게이트의 datasheet를 확인하시오.7402 NOR ... 의 회로를 구현하시오.응용 실험(1)응용 실험(2)응용 실험(3)1.4 응용 실험 (3)의 결과를 예상하고 이유를 서술하시오.= (A+B)(A+C) [∵드모르간 법칙]= AA + AC ... 이때의 진리표는 XOR 게이트의 진리표와 같다.2.5 응용실험 (2)실험 준비 1.3에서 구현한 회로를 보자.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 한양대 Decoder & 7-Segment
    추가해 사용한 관련 회로를 설계해보는 실험 목적을 지니고 있다.Chapter 2. ... 실험 목적7-Segment와 74LS47 (BCD to 7-Segment Decoder)의 정의를 이해한 후, Pin map과 Truth table을 이용한 결과에 LED BAR를
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • 순차논리회로설계 결과레포트
    전자공학실험3 Chap4 순차논리회로 설계[Section 01]간단한 상태도의 구현[학습목표]· 순차논리 회로를 설계하기 위해 FSM도(상태도)를 작성하고, Verilog, VHDL로 ... [실험결과]▣ 레지스터 동작 실험실제 Verilog를 이용한 레지스터 설계▷ 레지스터의 Verilog 표현▷ 레지스터의 Verilog 설계 컴파일 과정▷ 레지스터의 동작 확인? ... [실험결과]▣ Verilog를 이용한 간단한 상태로 설계동작 확인? 상태 S0에서 출력 y는 입력 m 값을 출력하는가?
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • [고려대학교 디지털시스템실험] - 모든 주차 A+ 결과보고서 총집합
    논리표는 다음과 같다.회로도대로 설계한 후, 테스트벤치를 통해 올바르게 설계되었는지 확인해본 결과, 정상 작동함을 확인할 수 있었다.해당 테스트벤치는 A,B,C,D가 각각 (0,0,0,0 ... 실험제목 Verilog, Quartus 툴 사용방법실험목표 Verilog 사용법을 이해하여 설계한 회로의 동작을 검증한다. ... 실험결과해당 주차에서는 Verilog의 기본적인 문법 및 설계 방식에 대해 알아본 후, 다음과 같은 그림의 회로를 설계해보는 실험을 수행하였다. input에 대한 t1, t2, result의
    리포트 | 45페이지 | 2,500원 | 등록일 2022.12.24 | 수정일 2023.01.02
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기 ... 실험 이론(1) 조합 논리 회로- 논리 곱(AND), 논리 합(OR), 논리 부정(NOT)의 세가지 기본 회로를 조합하여 구성한 논리 회로- 출력이 입력에 의해 결정됨.- 논리 게이트로만 ... 참고문헌1) 서울시립대학교 전자전기컴퓨터설계실험2 실험 교안2) M. Morris Mano, Michael D. Ciletti(2016).
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 아날로그 및 디지털회로설계실습 실습7(논리함수와 게이트) 결과보고서
    설계실습 7. 논리함수와 게이트요약 : 이번 실험논리 게이트 소자를 가지고 다른 논리 게이트 회로를 구성하고 값을 관찰하고 비교하는 실험이다. ... 또 이 설계실습을 통하여 무엇을 배웠는가?이번 실험을 통해 논리 게이트 소자를 이용하여 다른 논리 게이트 회로를 설계하고 그 결과를 확인하여 진리표를 작성하는 것을 배웠다. ... 설계 실습 내용 및 분석7-4-1설계논리게이트 구현 및 동작(A) LOW(0) 값HIGH(1) 값, Vcc를 각각 0V, 5V, 5V로 설정한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.09.24
  • 전자회로실험1 예비보고서 직렬 및 병렬 다이오드 구조
    전자회로설계실험1 실험일: 2019년 03월 25일 ... 양논리 AND 게이트a. 그림 3-9의 회로를 구성하라. 저항 R 값을 측정하고 기록하라.b. ... 실험 제목: 직렬 및 병렬 다이오드 구조조: 이름 : 학번 :실험에 관련된 이론1 다이오드다이오드는 하나의 몸체와 두 개의 전극을 가지는데, 이때 양극을 에노드(anode), 음극을
    리포트 | 7페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 기초전자회로실험1 4주차 결과레포트 논리회로의 기초 및 응용
    논리회로의 기초 및 응용 실험 목표 - AND, OR, NOT, NAND 및 NOR 논리게이트 동작을 이해할 수 있다 . - 범용 게이트를 이용하여 기본 논리게이트를 구성할 수 있다 ... 논리게이트 IC 칩의 최대 / 최소 허용전압 논리게이트를 설계 제작한 IC 칩은 동작 가능한 허용 입출력 전압이 있다 . ... Boolean 대수와 논리식 간략화 실험 목표 관련 이론 Boolean 대수 A + 0 = A, A + 1 = 1 에 해당하는 회로를 OR 게이트를 사용하여 구성 A 계산값 측정값
    리포트 | 7페이지 | 1,500원 | 등록일 2020.10.07 | 수정일 2022.03.28
  • 순차검출기와 32x8 sram verilog 설계
    다양한 조건을 가진 순차회로를 설계함으로써 순차논리회로의 설계 과정을 깊이 이해한다.Verilog설계- 순차 검출기의 상태도- Verilog 코드 기술SD.vmodule SD(stepCLK ... 제목 - 실험 결과 보고서실습 목적앞에서 실습한 순차회로 설계는 상태가 천이하는 조건이 단순했는데, 입력이 많아지고 조건이 복잡해지면 상태 천이 조건도 복잡해진다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • [PPT] 한눈에 들어오는 깔끔한 대학 / 취업 용 포트폴리오 양식 (프로젝트 관련)
    전자회로 및 실험 자동화 회로 실 무 전력전자회로 실 험 제도 및 CAD 3 차원 CAD 디지털 논리회 로 컴퓨터 프로그래밍 E - CAD 창작과제 창의적 공학설 계 창의과제기초 ... 창의과제응용 윈도프로그래밍 기 초 마이크로컨트롤러 기 초 PLC 로봇용 센서 로보틱스 전공물리 윈도우 프로그래밍 응용 마이크로컨트롤러 응 용 모터 실험교과목에 대한 개인적 견해 (1 ... 배낭여행하기 인디게임 페스티벌 참가하기 스타트업 업체 방문하기1 학년 1 학기 1 학년 2 학기 2 학년 1 학기 2 학년 2 학기 컴퓨 터 공학부 이수교과과정 로드 맵 전기회로 및 실험
    ppt테마 | 10페이지 | 1,500원 | 등록일 2022.01.11 | 수정일 2022.01.16
  • 충북대 기초회로실험 4-비트 산술논리회로 예비
    이용하여 4비트 ALU를 설계하고 시뮬레이션을 한다.이론ALU는 산술 연산회로와 논리 연산회로로 나누어진다. ... 2에서 설계된 심볼을 이용하여 의 8x4 Multiplexer를 그리고 시뮬레이션을 한 다음 심볼화 하라.(5) 실험 1과 실험 3에서 설계된 심볼을 이용하여 의 4비트 산술 연산회로를 ... 시뮬레이션 결과를 인쇄하라.(6) 실험 3의 심볼을 이용하여 의 1비트 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(7) 실험 6의 심볼을 이용하여 의 4비트 논리 연산회로를
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치 ... 하지만 이번 실험의 경우, ISE에서 도식으로 그린 회로를 FPGA에 download하여 모듈에 있는 핀과 연결하였기 때문에 실제로 그러한 비용절감 효과를 체감할 수는 없었다.만약 ... 실험교재에 있는 코드가 그림34의 진리표와 조금 달라서 수정을 했다.이 때, 1, 2번째 줄은 사용할 library들을 선언하는 부분이다. entity 함수의 경우, port의 input과
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 논리회로설계 FSM 설계
    논리회로설계 실험 예비보고서 #8실험 8. FSM 설계1. ... 컴퓨터 프로그램과 전자 논리 회로를 설계하는데 쓰이는 수학적 모델이며 간단히 상태 기계라고 부르기도 한다. ... 실험 내용- 실험 1.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • BCD가산기 verilog 설계
    제목BCD 가산기 설계실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... 이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.실습 내용실습결과Verilog설계- BCD 가산기의 Verilog
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 아날로그 및 디지털회로설계실습/ 7. 논리함수와게이트 / 결과보고서 / 성적인증포함 / 해당학기 전체 성적인증포함
    요약 기본적인 논리게이트인 AND, OR, NOT 게이트를 활용하여 NAND, NOR, XOR 게이트를 구현하고 기능을 측정하는 실험을 했다. ... 설계실습계획에서 예상한 결과를 대부분 얻을 수 있었던 만족스러운 실습을 했다. 01. ... 또 계획서에서 설계한 방법으로 OR gate의 입출력 딜레이(τpHL= 2 msec = τpLH ) 를 측정할 수 있었다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • 아날로그 및 디지털회로 설계실습 / 결과보고서 / 11.카운터 설계 / A+성적인증포함 / 해당학기 전체성적포함
    요약Flip Flop을 활용한 순차식 논리회로인 비동기 8진 카운터와 16진 동기 카운터 회로를 제작하고 실험하였다. ... 서론설계실습계획서에서 8진 비동기 카운터 회로에 대하여 Timing chart로 Simulation한 결과, 실험에서 스위치 역할을 대신하는 clk 신호에 의해 각 JK flip flop의 ... 상태에 따라 이진수 000에서 111까지, 그리고 다시 000으로 초기화되는 모습을 확인했다. 16진 동기 카운터의 경우, AND 게이트두개를 추가하여 설계하였으며, 공통 CLK에
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • 서강대학교 21년도 디지털논리회로실험 7주차 결과레포트 (A+자료) - Counter, State Machine, State Diagram
    디지털논리회로실험 7주차 실험 보고서목적- Counter의 구조와 동작 원리를 이해한다. ... CountersCounter는 clock에 의해 cycle을 반복하는 순차 논리회로이다. ... (비동기/동기 counters)- State machine을 분석하고 설계할 수 있는 능력을 기른다.
    리포트 | 28페이지 | 2,000원 | 등록일 2022.09.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대