• 통큰쿠폰이벤트-통합
  • 통합검색(909)
  • 리포트(879)
  • 시험자료(17)
  • 논문(5)
  • 방송통신대(5)
  • 자기소개서(3)

"클럭 주기" 검색결과 181-200 / 909건

  • Atmega32 임베디드 프로세서
    (PC7) 18(PG3) OC0 4(PB3) 14(PB4) 타이머 / 카운트 타이머 = 시간과 관련 ( 주기 =1/f) 기준시간 = 클럭으로 입력타이머 / 카운터 0 가장 일반적으로 ... 사용 인터럽트 이용하여 일정 시간 간격으로 반복된 처리를 수행 카운터 외부에서 입력되는 클럭을 카운팅 외부클럭 사용 주로 모터회전수를 카운트 , 입력되는 주파수를 측정 ( 인터럽트 ... 많이 쓰이는 single 채널 (1:1), 8bit 타이머 / 카운터 모듈TCCR0 : 타이머 / 카운터 0 동작모드 및 분주비 ( 주기 ) 설정 6,3( WGM:waveform
    리포트 | 77페이지 | 1,000원 | 등록일 2019.01.28
  • [Ayeun] 마이크로프로세서응용 8주차 결과보고서 마프(ATmega128,16bit,주기듀티비제어과제코드)
    타이머1과3의 클럭? 메인 and 카운터? 메인 클럭만 프리스케일러 사용? ... 카운터용 외부 클럭핀? 타이머/카운터1 = T1? PORTD.6? 타이머/카운터2 = T2? PORTD.7? 타이머/카운터3 = T3? PORTE .6#사용한 레지스터? ... “On”되는 시간에 따라 그 주기가 달라진다.
    리포트 | 16페이지 | 1,000원 | 등록일 2018.12.22
  • 자동판매기 결과보고서
    회로의 입력I _{n _{1}},I _{n _{0}}은 정확히 클럭주기에 맞춰서 변화되어야 한다. 즉, 동전 하나가 입력되었음을 나타내기 위해 클럭의 한 주기가 필요하다. ... 그러나 스위 치를 정확하게 한 주기에 맞추는 것은 불가능하다. -> 따라서 스위치를 누르 고 있는 동안의 시간과 무관하게 한번 눌렀다 놓는 동작 하나에 대해 클럭 펄 스의 주기와 일치시키는 ... 리드선을 연결할 때도 잘 연결이 되었는지 확인하고 합선이 되었는지 안 되었는지를 확인하고, 기본 회로를 다 연결한 다음에 마지막에 Vcc, 그라운드, 클럭까지 하나하나 확인하면서 납땜했다
    리포트 | 4페이지 | 1,000원 | 등록일 2017.11.29
  • 2017 경북대학교 전기전자실험 오실로스코프/신호발생기 A+보고서
    방형파는 디지털 회로에서 클럭이 필요할 경우 방형파를 클럭으로 사용할 수 있다. ... 이는 파형의 전압 최소/최대치, 주기적 신호의 빈도, 펄스 간의 시간, 관련 신호 간의 시차 등을 분석할 수 있게 한다.그림. ... 변화는 전압 가운데서도 주기적인 파형만을 측정한다.1: 전자빔 조절2: 음극선관3: 전자빔4: 자기장 형성5: 음극관일반적으로 오실로스코프는 전자적 신호의 특정 파형 관찰에 쓰인다.
    리포트 | 5페이지 | 1,500원 | 등록일 2017.12.30
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    그리고 일의 자리 수를 의미하는 값인 min(3 downto 0), sec(3 downto 0), c로 인가하는 클럭주기가 가시적으로 ‘그렇게 보이도록’ 설계되었기 때문이다. ... 따라서 Reset 버튼을 누르지 않은 상태에서 동작 상태가 stop 인 경우 분주기는 동작을 하지만 분주에 사용될 클럭이 계속 ‘0’인 상태이기 때문에 분주 카운트가 올라가지 못하고 ... 또한 분, 초, 초의 주기에 맞도록 분주기를 설정한 클록이 입력될 clk_csec, clk_sec, clk_min을 초기값 1로 설정해 주었다.
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • Labview를 이용한 통신 시스템 시뮬레이션(Function Generator, 오실로스코프) 보고서
    : 16MHz(ADC동작속도),ADC – 14클럭=> 분주비를 1로 한다고 해도 sampling rate : 약1.143MHz실제로는 안정성을 위해 분주비를 높여야 하므로 구현 시 ... 사용을 하지 않아 확실히 정하진 못하였지만 자료를 찾아보니 아두이노에 관한 자료가 많아 아두이노를 기준으로 samling rate와 측정 범위를 예상해보았다.- 아두이노 시스템 클럭 ... -Configure Frequency Sweep : 제어하는 channel, Sweep Spacing, Sweep Time(주파수를 변화시켜주는 주기), Starm Example.vi
    리포트 | 28페이지 | 3,000원 | 등록일 2019.09.07
  • 논리회로 설계프로젝트 (A+받은 레포트)
    설계의 구성요소기술목표주기적인 클럭신호에 따라서 LED를 제어하는 회로 구현합성스위치 입력신호를 사용함출력은 LED를 사용함분석- ■는 LED가 ON, □는 LED가 OFF임을 의미함 ... 목표 및 분석- 발진기에서 나오는 주기신호에 따라서 LED를 제어하는 회로 구현- 클럭 입력신호는 발진회로를 사용함- 스위치 입력신호를 사용함- 출력은 LED를 사용함- ■는 LED가 ... 구현 및 제작- 2개의 JK플립플롭에 함수발생기의 클럭신호를 입력하여주고, 그 출력을 LED에 연결되도록 설계한다.5.
    리포트 | 7페이지 | 1,500원 | 등록일 2017.07.23
  • 논리회로설계실습-FSM-결과보고서
    클락 펄스를 한 주기의 클락으로 변환시켜 최종적으로 채터링이 제거된 실제 입력으로 쓰이는 sw_out을 정의하였다.State S0과 S1은 각각 스위치를 누르지 않은 경우와 누른 ... 조건에서 스위치를 누르고 있는 상태에도 한 번 누르는 순간에만 동작해야 하므로 이를 구현하기 위해 디바운싱 코드에서 정의한 clean_output과 State 구문을 이용하여 여러 주기의 ... 설정한 Count_DFF에 대한 클록 분주기 공식은 다음과 같다.이와 같은 공식을 통하여 채터링을 방지 하기 위한 적절한 분주기를 적용한 클록 주파수를 찾기 힘들어 코드를 완성한 후
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 타이머와 PWM 예비보고서 마이크로컨트롤러
    펄스폭 변조(PWM)은 PWM주기 안에서의 펄스 폭으로 신호의 값을 나타내는 것이다. 수치는 전체 PWM 주기와 펄스 폭의 비율을 퍼센트로 표시한 것이다. ... 일정한 시간 간격으로 인터럽트가 발생되어 원하는 처리를 할 수 있으며, TCNTn(n은 0과 2)의 초기값을 설정하여 전체 타이머 주기를 결정한다. ... Tx핀으로 입력되는 외부 클럭을 프리스케일러를 거치지 않고 TCLK클럭이 생성되거나, 내부 클럭을 프리스케일러에서 나누어 TCLK클럭이 생성된다.
    리포트 | 18페이지 | 1,000원 | 등록일 2017.04.02
  • 결과12-pages-2-27
    직류 전원을 공급하기 위한 Power supply, 주기클럭을 만들기 위한 Clock generator, 측정을 위한 Virtual test equipment interface,
    리포트 | 26페이지 | 1,500원 | 등록일 2018.09.15
  • [Ayeun] 마이크로프로세서응용 10주차 예비보고서 마프(USART)
    이용하여 동기화- 고속 통신이 가능- 클럭을 이용하기 때문에 클럭선을 하나 추가해야 하는 단점(2) 비동기식 방식- 동기 클럭없이 데이터의 전송 속도를 정하여 전송하는 방식- 동기식 ... I2C(2) 병렬통신(Parallel)- 내부 장치간의 통신- 주로 HDD, Memory와 메인보드 간의 통신이 이에 해당- 디바이스간 데이터의 흐름이 한 주기에 여러 개씩 전송되는 ... FDD, Video Card 등#동기식 통신과 비동기식 통신동기식 방식과 비동기식 방식은 두 대상이 데이터를 주고 받는 교환하는 방법의 차이를 의미한다.(1) 동기 방식- 기준 클럭
    리포트 | 12페이지 | 1,000원 | 등록일 2018.12.22
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    순차회로에서 수행한 내용들이 linetracer를 구현하는데 많이 사용되었으며 분주기 설정, finite state machine 설계 등이 있었다. ... 적외선 센싱은 클럭의 한 펄스마다 이루어지는데 RoV_Lab3000의 기본 클럭 주파수는 4MHz로 너무 높기 때문에 이상적인 주파수인 500Hz의 clk_LED_div 클럭으로 분주한다.사용된 ... 각각의 클럭 clk_L_div, clk_R_div를 선언하였다. clk_LED_div는 적외선 센서가 바닥을 감지하는 횟수를 조절하기 위해 분주한 클럭이다.
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 디지털실험 - 실험 13. 비동기 계수기 예비
    (단, My Cad의 ‘시그널 합치기...’를 이용하고, 입력 CLK의 주기는 60ns이다.)4. ... .- 원 리카운터는 단순히 입력펄스의 숫자를 계수하는데 사용될 뿐만 아니라 주파수분주, 시간측정, 주파수 또는 주기측정 및 공정의 제어 등 그 응용분야가 대단히 넓은 회로이다. ... 이렇게 숫자가 출력되는 것은 클럭이 총 16번째 상승이 될 때까지 계속되며, 17번째 상승 클럭부터는 다시 0부터 시작되는 것이다.
    리포트 | 12페이지 | 1,500원 | 등록일 2017.04.02
  • SRlatch,Dlatch등등 여러가지 latch들
    Editor and create the waveforms shown in Figure 4, and sketch the output waveforms after simulation.주기를 ... 클럭 펄스 사용, 보편적 방법②비동기식 순서 회로(asynchronous sequential circuit)? ... 신호의 순간 엣지에서 반영하고, latch는 입력에 따라 항상 반영됩니다.즉 latch회로가 일종의 기억소자로서의 기능을 수행하고 있다고 볼 수있습니다.그래서 flip-flop은 클럭
    리포트 | 42페이지 | 1,000원 | 등록일 2019.03.16 | 수정일 2021.01.05
  • 디지털논리회로 텀프로젝트입니다.
    초당 수 천번의 짧은 주기클럭을 입력으로 받 아 5개의 LED와 버튼에 차례대로 양전압을 인가해 주는 역할을 한다. ... 이용하여 점등타이머로부터 받은 클럭에 따라 각각의 LED와 버튼에 순서대로 전압을 인가시킨다.④ 감광저항의 변하는 저항값을 이용하여 일정하지 않은 주기클럭을 발생시키는 돌발타이머와 ... , 점등타이머의 클럭 주기의 차이를 이용하여 랜덤적으로 LED와 버튼에 전압을 고정시킨다.⑤ 사용자가 올바른 버튼을 누르면 버튼에 의해 연결되는 고전압 신호를 NOR게이트를 통한 후
    리포트 | 10페이지 | 2,000원 | 등록일 2016.08.17
  • 실험 7. Shift Resistor 예비보고서
    그러나 클럭 주기의 횟수가 데이터 문자열의 길이보다 크지 않는 한, 데이터 출력, Q는, 순서대로 병렬 데이터를 읽어들일 것이다. ... 데이터를 이동하기 위해서, W/S 제어 줄은 1 이고 레지스터는 클럭이 입력된다. ... 이 종류의 시프트 레지스터는 병렬 입력(D0 - D3)로부터 데이터를 취하고 레지스터가 클럭이 입력될 때 일치하는 출력(Q0 - Q3)으로 이동시킨다.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.07
  • 디지털실험 - 실험 11. 멀티 바이브레이터 결과
    쌍안정은 전원을 넣어주면 클럭에 상관없이 계속 파형이 나타나고,단안정은 클럭이 발생할 때부터 파형이 나타나고,비안정은 클럭이 발생하면 파형이 나타나고, 또 한 번 클럭이 발생하면 없어진다 ... 멀티 바이브레이터조13조회로도 구성3번 핀에서의 출력파형 및 실험 결과주기 및 파형주파수주기 : 102us주파수 : 9.843kHz충전시간방전시간충전시간 : 68us방전시간 : 34us캐패시터에서의 ... 출력파형 및 실험 결과주기 및 파형주파수주기 : 104us주파수 : 9.653kHz충전시간방전시간충전시간 : 68us방전시간 : 36us 3번 핀에서의 출력파형과 캐패시터에서의 출력파형
    리포트 | 5페이지 | 1,500원 | 등록일 2017.04.02
  • 타이머카운터
    ) 주파수는 11059200/12hz이므로내부클럭 1개당 주기 T= {1} over {f} = {1} over {머신사이클} =0.0000010으로 로드해줄 필요가 없다.4) 모드3 ... 타이머/카운터 정의시간 주기를 측정하기도 하고, 외부에서 입력되는 펄스 폭을 측정할 수 있으며, 외부핀에서 트리거되는 펄스를 카운트할 수도 있다. ... 또 주기적으로 인터럽트를 발생시키는데에도 사용할 수 있다.타이머(timer)는 1-머신 사이클(내부 시스템 클록을 1/12 한 것)을 세는 것을 말하며, 카운터(counter)는 외부
    리포트 | 25페이지 | 1,500원 | 등록일 2016.12.07
  • 디지털논리회로 텀프로젝트
    어스테이블 모드를 이용하여 타이머가 1초 주기클럭을 발생시키도록 할 것이다. ... (하지만 브레드보드에 구현할 때 우리가 원한 1초보다 조금 긴 주기클럭이 발생했다. ... 주기를 1초로 하는 클럭을 발생시키는 방법은 타이머에 연결하는 캐패시터와 저항의 값을 조절하면 된다. 이때 출력되는 클럭은 7490카운터의 2진카운터의 입력펄스가 된다.
    리포트 | 7페이지 | 2,000원 | 등록일 2016.08.17
  • 타이머와 카운터 예비보고서
    /Prescal 주기 : 약 139us (1/7200 = 0.000138888)- 원하는 타이머의 주기 및 그 주기 동안의 시간을 정확히 세기 위한 타이머 클럭의 수 결정.- 1 주기당 ... 사용하여 타이머를 동작시킬 때 나타나는 문제를 해결하기 위해 클럭을 분주하여 더 느린 타이머 클럭을 만듦.- 4MHz 클럭을 사용하는 경우 그 클럭주기는250ns.- 이 클럭으로 ... 소스와 프리스케일러 결정- 원하는 타이머의 주기 및 그 주기 동안의 시간을 정확히 세기 위한 타이머 클럭의 수 결정Timer`Period= {1} over {Clock`Frequency
    리포트 | 12페이지 | 1,000원 | 등록일 2015.11.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:54 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대