• 통큰쿠폰이벤트-통합
  • 통합검색(909)
  • 리포트(879)
  • 시험자료(17)
  • 논문(5)
  • 방송통신대(5)
  • 자기소개서(3)

"클럭 주기" 검색결과 81-100 / 909건

  • [기초회로실험]Flip-flop 회로
    주기만큼 지연시켜 전달하는 역할2) S-R, J-K플립플롭 : 입력의 조합에 따라 기억된 수를 지연, 반전, 0또는 1로 설정3) T플립플롭 : J-K플립플롭에서 두 입력을 묶은 ... 또 입력단에 클럭과의 동기회로를 첨부하여 클럭신호에 따라 동작하는 플립플롭을 만들 수 있다.나. ... 계수기는 입력되는 클럭의 수를 세는 디지털 회로이다.
    리포트 | 6페이지 | 2,500원 | 등록일 2021.04.02
  • A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    .)--3번 분주하여서 사용하였습니다. (3번 분주 시 1Hz, 주기가 1초인 클럭 사용 가능.)-- 7-segment 와 BCD code를 서로 맞게 입력 하였습니다
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 서울시립대 전전설2 Lab-07 예비리포트 (2020 최신)
    다음 그림과 같이 사람 손으로 입력되는 button SW로부터 입력신호 in이 들어올 때 clk의 negative edge에서 시작해 한 클럭 주기동안 생성되는 in-sync 신호를 ... 이처럼 clk가 falling하는 최근접 timing을 기준으로 한 클럭 동안만 in_sync = 1이 되도록 코딩했다. ... 그 순간의 sync값은 한 클럭 전 clock이 falling할 때 sync값으로 in=0이 들어갔었으므로 sync값은 0이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2021.09.10
  • [아날로그 및 디지털 회로 설계실습] 예비보고서11
    단, CLK 입력에 클럭 입력 대신 버튼 스위치를 연결하여 버튼을 누를 때마다 카운트가 증가하도록 설계한다. ... 동일하게 Q2 출력은 Q1 펄스 신호 2개마다 1개의 펄스를 만들어낸다.simulation 결과를 보면 Q1의 주기가 0.2 us이므로 4진 비동기 카운터에 1 MHz의 구형파를 인가하면 ... 그린다.이론부를 참고하였을 때, Q1의 초기 상태가 LOW였다면 첫 번째 falling edge에서 High가 되었다가 두 번째 falling edge에서 다시 Low가 되므로 입력 클럭
    리포트 | 6페이지 | 1,500원 | 등록일 2022.09.14
  • (A+자료) 마이크로프로세서 실험 팀프로젝트 ATmega128을 이용한 운세 자판기
    외부 신호의 주파수, 주기 및 듀티 사이클 등의 특성 파악 및 발생한 사건의 이력을 만들 수도 있다.외부 캡쳐단자 ICPn 또는 비교기로부터 출력변화가 발생하면 입력캡쳐모듈에 트리거 ... TCNT와 OCR의 값이 같아지면, 내부적으로 OCnX 신호에 의하여 템 클럭 주파수를 클럭 소스로 사용하며 프리스케일러에 의해 분주되어 clktn으로 control logic으로 ... 프리스케일러를 통해 시스템클럭이 정해지고, 그 클럭은 TCNT에 카운팅되면서 카운터값이 0xFFFF에서 0x0000으로 오버플로우될 때 TOV1이 1로 셋되면서 오버플로우 인터럽트가
    리포트 | 27페이지 | 5,000원 | 등록일 2023.01.16 | 수정일 2023.03.07
  • 조합 논리 회로와 순차 논리회로를 비교하시오
    동기식 순차회로는 기억장치 및 조합회로가 인가되는 클릭에 동기화하여 동작되는 회로를 말하며, 비동기식 순차회로는 각각의 기억 소자들이 동기를 맞추지 않고 비주기적으로 동작하는 회로를 ... 순차회로는 플립플롭과 조합논리회로로 구성되어 있으므로 회로 설계란 플립플롭의 종류를 선택하고 그 플립플롭과 함께 서술된 논리 결과를 만족하는 조합 논리 게이트를 구하여 일정한 클럭에 ... 그러나 래치는 클럭 신호와 동기화하여 사용하지 못한다는 단점 때문에 많은 응용 순차회로에서는 우리가 흔히 플립플롭이라고 부르는 동기형 플립플롭을 사용한다.3.조합논리회로와 순차논리회로의
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.29
  • [디지털공학개론]기본 플립플롭들 회로도 진리표 여기표 Preset 입력과 Clear입력 비동기식 J-K 플립플롭의 회로도 멀티바이브레이터의 종류특성
    트랜지스터 교번하는 비안정 상태에 의해 일정한 주기의 펄스를 출력하는 발진동작을 하게 됩니다.회로 동작을 살펴보면 처음 전원이 회로에 공급되면 각각의 트랜지터에는 연결된저항과 콘덴서를 ... 불안정한 두 가지 상태 High 또는 Low상태를 가지며, 한 쪽 상태에 머무르지 못하고 두 상태를 교대로 변화하는 일종의 발진기(oscillator)이며 외부 입력 없이 스스로 주기적인 ... 이에 반해 J와 K 입력은 클럭에 맞추어 출력에 영향을 미치기 때문에 동기식 입력이라고 말한다.
    리포트 | 9페이지 | 9,000원 | 등록일 2021.05.07
  • [atmega128]마이크로프로세서 8비트타이머카운터
    16MHz)를 출력 비교 인터럽트가 두 번 발생되어야만 1주기가 완성되므로 2를 나눠주고 N은 프리스케일러 분주비로 나눠주며 OCR0에 +1을 해주는 이유는 OCR0를 100으로 ... ATmega128 8bit Timer/Counter 관련 이론-타이머/카운터 내부 구성도a.타이머/카운터0b.타이머/카운터2-클럭 선택 논리부클럭의 선택은 타이머/카운터 제어 레지스터 ... (TCCR2)의 클럭 선택 비트(CS22~CS20)에 의해 결정되고, 카운터의 계수 동작과 오버플로우(TOV2) 플래그는 타이머/카운터 제어레지스터의 파형 발생 모드 비트(WGM21
    리포트 | 11페이지 | 1,500원 | 등록일 2020.01.03 | 수정일 2021.02.22
  • PCM Encoding Tims 실습 결과보고서
    단점으로는 주기마다 한 개한 펄스를 전송하는 대신 여러 개의 펄스를 전송하므로 펄스 폭을 작게 해야 하며, 결과적으로 전송 대역폭이 증가한다. ... 통해 알아본다.위 사진이 이번 실습에 사용한 모듈이다.실습 과정Tims 장비에 모듈을 연결하고 배선을 한 사진으로 비교적 간단하다.실험 결과밑의 파형은 클럭 신호이고, 위의 파형은 ... MASTER SIGNAL에서 TTL 신호로 클럭을 방생시키고, VARIABLE DC 모듈에서 직류성분을 PCM ENCODER의 입력에 인가한다.후에 변조된 신호를 SCOPE SELECTOR를
    리포트 | 4페이지 | 1,000원 | 등록일 2020.10.05
  • 방송대 컴퓨터의이해 과제물
    따라서, 이 클럭 수치가 높을수록 빠른 속도와 성능의 CPU라고 할 수 있다.과거에는 이러한 클럭 속도가 CPU의 성능을 나타내는 기준으로 주로 판단되어 왔지만, 는 한 CPU 에 ... 2개, 4개, 8개, 16개인 헥사 데시멀 코어까지 각 코어 개수마다 가진 이름이 고유하며, 현재는 72개의 코어를 가진 인텔 제온 파이 CPU도 있다고 한다.노트북의 기억장치1) 주기억장치주기억장치 ... 2개 또는 그 이상의 코어를 가진 멀티 코어 CPU 들이 등장하여 클럭 속도 외에도 코어의 수가 CPU의 성능을 나타내는 또 하나의 기준이 되고 있다.
    방송통신대 | 11페이지 | 3,000원 | 등록일 2020.11.27
  • 쉽게 배우는 AVR ATmega128 마이크로컨트롤러 2장연습문제
    VPOT이하일 때 MCU는 리셋된다.- 외부 리셋 : RESET 핀에 최소한 1.5us 이상의 LOW 레벨 펄스가 입력되면 MCU는 리셋된다.- 워치독 리셋 : 워치독 타이머에서 지정된 주기 ... ATmega128의 시스템 클럭에 대해 설명하시오.시스템 클럭 : 내부의 각 구성 부분들이 항상 클럭 신호를 사용하는 것은 아니기 때문에 사용하지 않는 클럭은 차단하여 소비전력을 감소시킬 ... ATmega128의 특징 및 내장 기능에 대해 설명하시오.ㆍ고 성능의 저 전력 8비트 마이크로컨트롤러ㆍ진보된 RISC 구조- 대부분 단일 클럭 사이클에서 실행되는 133개의 명령어-
    리포트 | 5페이지 | 1,000원 | 등록일 2020.12.06 | 수정일 2021.06.18
  • 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    이러한 펄스는 주기적 또는 비주 기적으로 생성할 수 있다.-비동기식 : 시간에 관계 없이 단지 입력이 변하는 순서에 따라 동작하는 논리회로이다. ... 카운터(Counter) : 클럭 펄스를 세어서 수치를 처리하기 위한 논리 회로 (디지털 회로)이다.? ... 전원이 공급되는 한, 상태의 변화를 위한 신호(클럭) 가 발생할 때까지 현재의 상태를 유지하는 논리회로이다.-플립플롭의 종류? RS 플립플롭 ? JK 플립플롭 ? D 플립플롭 ?
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
  • FSM 머신설계 베릴로그
    상태는 클럭이 한 주기 바뀔때마다 상태가 바뀌게 됨.맨 위에 테스트밴치를 그대로 파형으로 옮기면 현재상태를 확인할 수 없으므로 검정색 네모박스에 해당하는 값을 파형으로 옮기기 위해.아래와 ... 디지털시스템설계 #6 Report2018.6.13 제출블록도상태도상태표S0은 00, S1은 01, S2는 11로 설정.y값은 클럭에 상관없이 x1,x2 두 입력값으로 결정되고, 현재
    리포트 | 17페이지 | 2,500원 | 등록일 2021.04.09
  • 논리회로설계실험 라인트레이서 레포트
    순차회로에서 설계한 분주기 설정, finite state machine 설계 등이 linetracer를 설계하는데 많이 사용될 수 있었다. ... 이 클럭의 주파수가 낮을수록 state가 빨리 변화하여 바퀴의 속도가 빨라진다. ... 여기서 light는 발광 센서에서 발생되는 적외선을 나타내며, 클럭 신호를 통해 적외선이 발생되도록 설계하였다.
    리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • TIMER 기초 실험 예비보고서
    기준 클럭(clæk)을 4체배할 경우 타이머 최대 주기가 몇 초까지 늘어날 수 있는지 계산해본다. ... 임베디드 시스템에서는 타이머가 이 시간 측정의 일을 담당하며, 정해진 클럭 펄스의 개수를 세는 방식으로 정확하게 시간을 잴 수 있다. ... 그렇다면 주프로그램은 정지되어 있지만 지정된 주기에 따라 다른 여러 함수의 실행이 가능하여 멀티태스킹과 같은 효과를 줄 수 있다.(3) 타이머가 동작하는 원리를, LED가 1 초마다
    리포트 | 6페이지 | 1,000원 | 등록일 2021.03.31 | 수정일 2021.04.08
  • Counter 회로제작 (기초공학실습, KAIST)
    이는 각 단의 출력과 클럭 펄스를 AND로 묶어 다음 단의 입력단으로 연결함으로써 게이트의 지연시간에 의한 에러를 막아준다. ... 동작상태 : CK pulse 는 수동이나 1Hz의 주기에 놓는다.CK pulse 에 대한 A, B, C, D 점에 대한 상태를 그리시오4. ... BULLET 2 ^{2-1} +`0 BULLET 2 ^{1-1} `=`12UP 카운터로 이용할 때는 플립플롭의 출력Q 와bar{Q} 중bar{Q}만이 유효하며 첫 단의 출력Q를 다음 단의 클럭
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • VHDL을 통해 구현한 ShiftRegister 실습보고서
    추가적으로 clk가 10ns주기로 움직이는 것도 wave 상에서 관찰할 수 있었고, reset=0이 되면, 다른 값에 관계없이 Q=0000(LOW)가 된다는 점이110dir=1, Circular ... 따라서 S=R=1인 경우는 사용하지 않는다.이 외에도 D Latch도 존재한다.2)FlipFlopS-R 래치에서 클럭(Clock)이 포함된 형태로, 클럭값이 변할때만, 작동하는 회로로 ... 또한 rising edge방식을 채택하여 클럭이 rising 될때마다 작동하는 회로를 설계한다.2.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 시립대_전전설2_Velilog_예비리포트_7주차
    가령 0000에서 시작했다면 클럭이 한 주기 진행될 때 마다 0001, 0010, 0011,… 과 같이 증가하게 된다.그리고 끝까지 Counting을 한 후에는 다시 처음으로 돌아가서 ... 배경 이론(1) Moore Machine / Mealy Machine외부 입력과 시스템 클럭에 의해 State(상태) 가 바뀌게 되고, State에 의존되어 출력값이 결정되는 것을
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11 | 수정일 2022.04.21
  • 디지털 회로 실험 및 설계 - ADC(Analog to Digital Converter) 실험 1
    5번핀은 INPUT- 17, 14, 15, 8, 18, 19, 20, 21번 OUTPUT(17번이 최하위 비트 LSB, 21번이 최상위 비트 MSB)- 11번핀이 VCC- 10번핀이 클럭 ... 샘플링- 주기 T마다 아날로그 신호를 측정하여 저장하는 작업이다.- 샘플링 결과가 나오면 연속적인 아날로그 신호로부터 불연속적인 신호가 추출되고, 각 샘플링 값을 연결하면 원 신호를 ... 복원할 수 있다.- 샘플링된 아날로그 신호를 디지털 코드로 바꾸는 작업이 AD 변환이다.- 주기 T를 짧게 할수록 원래의 아날로그 신호를 더 정확히 복원할 수 있으며, 이 경우 처리해야
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 카운터의 응용으로 디지털시계의 회로도를 완성해가는 과정을 설명하시오. 4가지 기본형 레지스터의 분류에속하는 IC들을 정리하시오.
    클럭펄스처럼 펄스가 일정주기를 가질 때 1초 동안 입력 펄스의 수를 세면 그 펄스신호의 주파수를 알 수 있고 주기를 알 수 있으며 정밀한 클럽발생기와 카운터를 사용하면 두 시점간의
    리포트 | 6페이지 | 3,000원 | 등록일 2021.06.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:49 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대