• 통큰쿠폰이벤트-통합
  • 통합검색(909)
  • 리포트(879)
  • 시험자료(17)
  • 논문(5)
  • 방송통신대(5)
  • 자기소개서(3)

"클럭 주기" 검색결과 41-60 / 909건

  • 부산대학교 응용전기전자실험1 4장 예비보고서
    전압가산형 D/A 변환기 실험(4.4.1)의 (2)를 Pspice를 이용하여 진행한 후, 출력 파형을 관찰하여 전압과 주기를 측정하고 회로의 동작에 대해 설명하시오. ... 발생기나 발진회로의 클럭을 받아 각각의 진수 카운터를 출력하는 소자이다.위 그림과 같이 74ls90은 내부적으로 2진카운터, 5진카운터 회로로 나뉘어 있다. ... . 7490 카운터 소자에 대해서 조사하고 동작원리를 간단히 설명하시오.카운터는 수를 세는 데 사용되는 하드웨어 디지털 회로 또는 컴퓨터 프로그램이다. 7490 카운터는 입력으로 클럭
    리포트 | 11페이지 | 1,500원 | 등록일 2022.11.13
  • 컴퓨터구조론 2장 연습문제 풀이 (개정5판, 생능출판, 김종현)
    = 1200 클럭 주기, 나머지 500개의 명령어는 파이프라인 네 단계 모두 필요하다고 했으므로 4 x 500 = 2000 클럭 주기가 필요하다따라서 프로그램을 처리하는 데 걸리는 ... 않으면, 100개의 명령어는 파이프라인 두 단계만 필요하다고 했으므로 2 x 100 = 200 클럭 주기, 400개의 명령어는 파이프라인 세 단계만 필요하다고 했으므로 3 x 400 ... 주파수가 1 GHz이므로 1 클럭 주기는 1 / 1 GHz = 1ns이다.1000개의 명령어들 중 10% => 100개, 40% => 400개, 나머지 => 500개파이프라인을 이용하지
    리포트 | 12페이지 | 3,000원 | 등록일 2021.04.28
  • 타이머/카운터 3을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 256분주시켜 Duty 비가 40인 구형파를 만들어서 OC3B 핀으로 정상 출력하고자 한다. 필요한 레지스터 값을 설정하시오.
    주기=16000000/256/1024=61.03515625Hz=16.384msDuty 비율에 따른 OCRnx 도출 및 설정Duty 비가 40%이다. 10비트 분해능의 TOP은 1023 ... 타이머/카운터 3을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 256분주시켜 Duty 비가 40%인 구형파를 만들어서 OC3B 핀으로 정상 출력하고자 한다. ... 0x0CTCCR3C = 00000000 = 0x00DDRx ASSR TIMSK 설정DDRE = 0x10 (OC3B는 PE4이므로 PE4 핀을 출력으로 설정한다.)ASSR = 0x00 (내부 클럭
    리포트 | 2페이지 | 4,000원 | 등록일 2021.12.14 | 수정일 2024.05.27
  • 아날로그 및 디지털회로설계실습 (카운터 설계)예비보고서
    또한, 입력 신호, Q1 신호, Q2 신호의 파형을 함께 그린다.비동기식 4진 카운터에서 초기 상태가 Q1=Q2=Low일 때, 입력 클럭 신호에 주기를 T라고 하면 2T마다 (Q2, ... Q1 신호는 2T마다 바뀌고 Q2 신호는 4T마다 바뀌므로 비동기식 4진 카운터에 Q1 신호는 입력 클럭 신호의 1/2에 해당하는 주파수를 가지게 되고 Q2 신호는 입력 클럭 신호의 ... 이 때 입력 클럭 신호에 버튼 스위치를 연결하면 버튼이 내려갔다가 올라올 때마다 입력 클럭 신호가 falling edge로 인식되어 (Q3, Q2, Q1)이 000 001 010 011
    리포트 | 3페이지 | 1,000원 | 등록일 2020.09.24
  • 실험3 순차논리회로기초 - 교류및전자회로실험
    신호의 주기가 2배 늘어나고, 주파수는 1/2로 된다.실험기기랩톱 PC, 아두이노 우노 보드, 브레드보드, 전선, 디지털 테스터, 스위치, 저항, 함수발 생기예비보고서(1)SR 플립플롭입력 ... 필요한 플립플롭의 클럭 신호는 수정 발진기 등을 이용하여 생성한다. 복잡한 회로는 많은 플립플롭이 같은 클럭신호 를 사용하므로 전용의 클럭 배선이 필요한 경우도 있다. ... JK 플립플롭은 입력이 J 와 Q 그리고 클럭의 3 가지가 NAND 게이트에 입력된다. K 와 Q’ 그리고 클럭 역시 마찬가지다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.03.20
  • 시립대 전전설2 A+ 7주차 예비레포트
    때 clk의 negative edge에서 시작하여 한 클럭 주기 동안 ‘1’이 나오는 in_sync신호(LED1)를 출력하는 모듈을 디자인하시오.시뮬레이션으로 확인하시오. ... 시뮬레이션에서는 in_sw rising/falling 경계가 clk의 rising/falling 경계와 어긋나도록 하고, in_sw가 clk를 최소한 7주기 이상 포함하도록 함. ... 실험 내용1) 실습 0Moore/Mealy 머신을 디자인할 때 입력을 Button SW를 사용하기 위하여 클럭 신호와 무관하게 비동기적(asynchoronous)으로 들어오는 버튼
    리포트 | 15페이지 | 2,000원 | 등록일 2024.09.08
  • 논리회로실험 A+예비보고서 7 Shift register
    실험 이론1) Shift Resister-매 클럭 주기로 모든 비트를 한 자리 옮기게 하는 레지스터이다. ... 데이터를 시프트하기 위해서, W/S 제어신호는 1이고 레지스터는 클럭이 입력된다. 직렬-직렬 시프트 레지스터처럼 배열과, 데이터 입력처럼 D1은 동작한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.10.09
  • [논리회로설계실험]VHDL을 활용한 LCD설계
    이용하여, 100Khz의 클럭을 만드는 과정이다. 250ns의 주기를 가진 클럭을 20개를 카운트(cnt_100k) 하여 조건문을 만들고, 카운트 된 값을 기준으로, Process ... ,load_100k,cnt_100k)-100khz클럭 설정100khz의 클럭을 설정하는 process로, 클럭 카운트 값을 이용하여, 현재 250ns(4Mhz)의 클럭을 쓰고 있으므로 ... 클럭설정50hz의 클럭을 설정하는 process로, 앞서 만든 100khz 클럭을 200번 세서, 5000ns*200=1ms 간격으로 0과 1이 반복된다.2-3) process(FPGA_RSTB
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • [마이크로컨트롤러]8th_16비트타이머카운터
    WGMn3:n0=[0000] - TCNTn : 0xffff → 0x0000 → TOVn bit =1 오버플로 인터럽트 발생 → 오버플로 인터럽트 서비스 TIMERn_OVF_vect 주기설정 ... 16 비트 타이머 / 카운터 동작 _ 일반모드 where T 0 : TCNTn 의 초기값 , N : 분주비 , f : 시스템 클럭 ... 타이머 / 카운터 2 동작모드 설 명 오버플로 동작 (Overflow) - 입력 펄스를 최대 개수까지 카운트하는 것을 반복하는 동작 - 16 비트 타이머 / 카운터는 카운트한 입력 클럭
    리포트 | 34페이지 | 1,500원 | 등록일 2022.10.10
  • 결과보고서(4) Counter 카운터
    이러한 오동작은 계수하는 값이 커지거나 클럭의 주파수가 높을수록 발생 확률이 증가하게 된다. 오동작을 방지하기 위해서는 최대 전파지연시간은 클럭 주기보다 적어야 한다. ... 즉 플립플롭에 인가되는 최대 주기는 카운터의 전체 지연시간보다 길어야 한다. ... 예비보고서에 기술한 순서에 의해서,PR을 0 → 1로 한 후,CLK에 클럭 펄스를 하나씩 트리거 시키기 위해 이는 오른쪽에 1Hz에 선을 연결시켜 주고Q _{3} SIM Q _{0}
    리포트 | 8페이지 | 2,000원 | 등록일 2020.10.14
  • 11. 카운터 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    주기는 4us 이므로 주파수는 0.25 MHz 이다. ... 단, CLK 입력에 클럭 입력 대신 버튼 스위치를 연결하여 버튼을 누를 때마다 카운트가 증가하도록 설계한다. ... 입력으로 들어간다.J 와 1/2배의 주파수를 가지므로 2 분주회로, Q2 출력은 입력 클럭 신호에 비해 1/4배의 주파수를 가지므로 4 분주회로이다.
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • 17.쉬프트 레지스터 결과보고서(실험 2,4,6번)
    실험 2 4 6 에서 파형을 분석해서 주기성을 확인해본 결과 , 클럭이 발생할때마다 실험 2,4 의 A,B,C,D 에서 계단 형식으로 1 이 올라가는게 보였다 . ... 0 1 9 1 0 0 0 10 0 1 0 0 11 0 0 1 0 12 0 0 0 1 13 1 0 0 0 14 0 1 0 0 15 0 0 1 0실험 2-4 그림의 회로를 구성하고 클럭을 ... 주기성이 나타날 때까지 실험하라 CLK A B C D ↑ 1 0 0 0 ↑ 0 1 0 0 ↑ 0 0 1 0 ↑ 0 0 0 1 ↑ 0 0 0 0 ↑ 1 0 0 0 ↑ 0 1 0 0 ↑
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.27
  • [건국대학교 전기전자기초실험1 A+][2024 Ver] 14주차 - 예비레포트
    D(Data) 래치는 SR 래치의 한계를 보완하기 위해 고안된 형태로, 단일 데이터 입력과 클럭 신호를 사용한다. ... 클럭 신호가 활성화될 때 입력 데이터의 값을 출력으로 래치한다.JK 래치는 SR 래치의 개선된 버전으로, 입력 상태에 따라 출력이 토글될 수 있는 기능을 추가하였다. ... 구성하시오.NOR 게이트는 OR 게이트와 NOT 게이트로 구성하시오.NOT 게이트는 라이브러리의 INV를 이용하시오.S, R 단자에 아래와 같은 전압을 인가하고 S, R,,의 파형을 3주기
    리포트 | 6페이지 | 5,000원 | 등록일 2024.08.10
  • [예비보고서] 11.카운터 설계
    주파수는 주파수가 절반이 되므로 0.5MHz, Q2 신호의 주파수는 Q1 신호 주파수의 절반이 되므로 0.25MHz이다. 1MHz의 구형파를 인가하기 위해서, 이하의 회로도에서는 1s 주기를 ... 단, CLK 입력에 클럭 입력 대신 버튼 스위치를 연결하여 버튼을 누를 때마다 카운트가 증가하도록 설계한다. ... 입력클럭 펄스 2개마다 Q1 출력은 1개의 펄스를 만들게 되며, Q1 펄스 신호 2개마다 Q2 출력은 1개의 펄스를 만들 것이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.03
  • 연세대 23-2 기초아날로그실험 A+6주차 예비보고서
    따라 sinusoidal, pulse, sawtooth, triangular waveform들을 만들 수 있어 전자회로, 디지털 논리 회로, 무선 통신 장치, 시계 레이더 컴퓨터 클럭 ... monostable mode 이해- PSPICE 및 breadboard에서 LED flasher 구현Ⅱ.이론2.1 Oscillators and TimersOscillators는 일정한 주기
    리포트 | 11페이지 | 1,500원 | 등록일 2024.03.23
  • 8주차-실험19 예비 - 카운터 회로
    (단, 입력 CLK의 주기는 100ns이다.)⇒ 링계수기는 두 상태를 선택하는 논리 소자가 여러 개 고리 모양으로 이어진 것이고,JK플립플롭으로 구성된 링카운터는 초기에 1을 첫 번째 ... (10) 은 회로를 클럭에 동기시켜 확장시킨 회로이다. 입력 X 및 클럭의 신호구성은 실험 2와 동일하다. ... ⇒클럭의 수DCBA10진수0000는 어떤 상태로 변하는가?
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.16
  • 7주차-실험17 결과 - 쉬프트 레지스터
    주기성이 나타날 때까지 실험하라.clockABCD↑0000↑1000↑0100↑0010↑0001⇒ 실험 (4)에서 플립플롭들로 구성된 회로를 보면 각 Q출력이 다음 플립플롭의 입력으로 ... 클럭과 함께 있는 사진은 클럭과 거의 일치해 보이지만 실제로는 움직이는 모습으로 보면 완전히 일치하지는 않고 약간의 간격이 있었습니다. ... 이때 SI=0, Shift=0으로 하고 누름 스위치로 클럭 펄스를 한 번 가한 후의 출력을 기록하라.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.02
  • 전기전자공학기초실험-발진기(Oscillator)
    이 회로에서 나타나는 출력은 주파수의 펄스 클럭 파형이다.f= {1.44} over {(R _{A} +2R _{B} )C}슈미트-트리거 발진기파형 정형회로라고도 불리며 디지털 회로에서는 ... 본 실험에서는 이와 같이 주기를 갖는 정현파나 구형파를 발생시키는 발진회로를 실험한다.2. ... 펄스열, 단일펄스 발생몇 개의 저항과 콘덴서만으로 작동 => 사용하기가 쉽고, 펄스의 주기나 주파수 계산이 간단하다.
    리포트 | 3페이지 | 1,500원 | 등록일 2022.09.02
  • 결과보고서(3) Shift Register 시프트레지스터
    결과값이 위와 같이 나왔는데, 주기 2N-1 = 24-1 = 15 에 따라 결과값들이 규칙적이지는 않지만 출력이 반복됨을 확인할 수 있었다.이번 실험을 통해서 시프트 레지스터와 시프트 ... 클럭 펄스를 하나씩 트리거 시킬 때마다 1의 값은Q _{1}, Q _{ 2},Q _{ 3} 순서대로 이동했다.CLK=5일 때, 그러니까Q_{ 3}에 출력값 1이 나온 다음 클럭 펄스에서는 ... 이 회로는 결국 4까지 셀 수 있는 카운터인 셈이었다.이 카운터는 항상 1이 존재하며, 1이 각 클럭 펄스에 입력될 때마다 한 단계씩 “링을 순회”하듯이 시프트 되었다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.10.14
  • 컴퓨터 구조 과제 4 - 소프트웨어학과
    각 파이프 라인 단계를 매우 좁게 만들어 다수의 파이프 단계를 생성함으로써 이를 달성한다 클럭 주기가 짧으면 클럭이 빠르다. ... 클럭 속도를 줄이지 않고도 매 주기마다 더 많은 명령어를 실행할 수 있다면 CPI가 감소하므로 성 능이 향상된다.* Superpipelined approaches는 클럭 사이클 시간을 ... CPI가 변경되지 않는 한, 더 빠른 클럭은 더 나은 성능을 의미한다.6.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.05.18 | 수정일 2020.05.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:47 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대