• 통큰쿠폰이벤트-통합
  • 통합검색(909)
  • 리포트(879)
  • 시험자료(17)
  • 논문(5)
  • 방송통신대(5)
  • 자기소개서(3)

"클럭 주기" 검색결과 101-120 / 909건

  • 서일대학교 컴퓨터 개론 요약정리
    : 클럭속도 단위인 Hz는 1초당 진동의 반복 횟수를 재는 단위, 프로세서는 하나의 명령어를 특정 수의 클럭 사이클에서 실행할 수 잇으므로 클럭 속도는 연산 속도와 비례- 병렬처리 ... : 명령어의 집합인 프로그램을 실행하려면 세과정인 기계주기를 계속적으로 반복두 수 합 구하기명령어1 : LDA A , 메모리A의 내용을 AC에 저장명령어2 : ADD B, 메모리B의 ... 다양한 자료 전송이 발생- 주기억장치의 명령어와 CPU의 여러 임시 저장소인 레지스터로 전송되어 명령어를 처리한 후 다시 처리 결과인 자료가 주기억 장치로 전송되는 과정을 거침.기계주기
    시험자료 | 11페이지 | 2,000원 | 등록일 2021.10.06
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서결과보고서8
    OUTPUTS B,bar{B} 에 신호를 40 kHz의 클럭 신호를 얻기 위해 클럭 발생기에 주파수 손잡이를 조절한다.오실로스코프 display는 지금 Figure 2-12(b)와 ... Aperture 왜곡이 일어난 이유는 주기적인 pulse train을 푸리에 변환하면 Sinc 함수 모양이 되는데, 이 Sinc 함수와 메시지 신호의 곱으로 얻어진 신호는 Sinc ... : 클럭 발생기의 FREQUENCY DIVIDER section에서 n=3을 8 kHz의 샘플링 신호를 얻기 위해 선택할 필요가 있을 것이다.스펙트럼 분석기에서 FREQUENCY
    리포트 | 15페이지 | 1,500원 | 등록일 2021.10.24
  • 시립대 전전설2 Velilog 예비리포트 7주차
    가령 0000에서 시작했다면 클럭이 한 주기 진행될 때 마다 0001, 0010, 0011,… 과 같이 증가하게 된다.그리고 끝까지 Counting을 한 후에는 다시 처음으로 돌아가서 ... 그를 이용해 Counter, Converter 등을 설계해 보는 것이 이번 실험의 목적이다.배경 이론(1) Moore Machine / Mealy Machine외부 입력과 시스템 클럭
    리포트 | 17페이지 | 1,000원 | 등록일 2021.04.16
  • 인하대 VLSI 설계 6주차 Flip-Flop
    1) LatchLatch란 하나 이상의 비트들을 저장하기 위한 디지털 논리회로로 데이터 입력 In, 클럭 입력 CLK, 출력 Q로 이루어진다. ... Q는 원래의 Q값을 유지한다.(01) Layout + Hspice(Magic Tool을 이용하여 추출한 netlist 파일 & tran 시뮬레이션 → CLK 입력: 125MHz(주기 ... : 8ns)(02) 직접 손으로 작성한 netlist 파일 & tran시뮬레이션→ CLK 입력: 125MHz(주기: 8ns)위 그림들은 Flip-Flop simulation의 Magic
    리포트 | 8페이지 | 2,000원 | 등록일 2023.03.15 | 수정일 2023.03.22
  • 메인보드
    → 메인보드는 PC 의 기반을 이루는 주기판을 뜻하며 , PC 의 모든 구성품을 장착하는 모체라고 하여 마더보드 ( 머더보드 , Motherboard) 라 부르기도 한다 .메인보드란 ... 2 배 향상 DDR2 SDRAMRAM 의 종류 DDR3 SDRAM DDR2 SRAM 보다 낮은 전압 메모리 입출력 버퍼 2 배 향상 빠른 속도클럭이 높은 RAM 선택 시 고려사항 ... 향상시킴RAM 의 구성 제품라벨 DDR 종류 대역폭 주차코드 메모리홈RAM 의 종류RAM 의 종류 SRAM 168 개의 핀으로 이루어짐 구형 펜티엄급 PC 에서만 사용 이름으로 FSB 클럭
    리포트 | 46페이지 | 5,000원 | 등록일 2024.05.21
  • 아날로그 및 디지털회로설계실습 11 카운터 설계 예비 리포트
    단, CLK 입력에 클럭 입력 대신 버튼 스위치를 연결하여 버튼을 누를 때마다 카운트가 증가하도록 설계한다. ... 또한, 입력 신호, Q1 신호, Q2 신호의 파형을 함께 그린다.Q1의 주기는 2us이고 Q2의 주기는 4us이다.그러므로 Q1의 주파수는 0.5Mhz이고 Q2의 주파수는 0.25Mhz이다
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.02
  • 서울시립대학교 전전설2 7주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Results of this Lab (실험 결과)(1) Results of Lab 0- Button SW로부터 입력신호 in이 들어올 때 clk의 negative edge에서 시작하여 한 클럭 ... input_sync가 1이 되는 형식으로 만들었습니다.그리고, 한 주기의 출력이 발생하면, 무조건 다음 주기는 출력이 0이 되도록 설정을 해주었습니다.설계 2) delay를 주기 ... 결과Pin 연결- 개발 설명위 디자인의 설계는 negedge clk의 입력신호를 기반으로 시작하였습니다.Clk이 들어왔을 때, 버튼이 눌려있는지 검사하고, 눌려있다면 1clk의 주기동안
    리포트 | 12페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 논리회로실험 예비보고서7
    gate)와 74HC76(Dual J-K Flip-Flop with preset and clear)를 이용하여 위와 같은 회로를 구성한다.② 클록의 경우 초기에는 f=100mHz(주기 ... Clear 신호는 클럭 신호가 인에이블 되기 전에 모든 레지스터를 ‘0’상태로 만드는 데 사용한다. ... ·비동기 입력 : clear나 preset과 같이 클럭 신호에 관계없이 출력을 결정하는 입력을 비동기 입력이라고 한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.09.18
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(예비) / 2021년도(대면) / A+
    다음 그림과 같이 Button SW로부터 입력신호 in이 들어올 때 clk의 negative edge에서 시작하여 한 클럭 주기동안 생성되는 in-syn 신호를 생성하고 타이밍 시뮬레이션으로 ... [실습 0] Moore/Mealy 머신을 디자인 할 때 입력을 Button SW를 사용하기 위하여 비동기적 (asynchoronous)인 버튼 입력(in)으로부터 한 클럭 동안의 synchronized된
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 텀프로젝트 디지털논리실험및설계 [ 주차장 카운트 ] A+자료
    클럭주파수를 너무 크게 하면 문제가 발생하고 최대 누적 지연시간 < 클럭 주기이다.업/다운 카운트에 채터링 방지 하기 위해 저항을 달아줘야 했지만 나중에 인지하여 하지 못 함.- NE555 ... 비동기 (클럭에 무관) 또는 동기적으로 카운터의 시작점을미리 설정할 수 있는 카운터이며 전파지연 때문에 FF들이 동시에 트리거 되지 않음.
    시험자료 | 19페이지 | 6,500원 | 등록일 2023.12.15
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서6
    Clear와 Preset은 클럭과는 비동기식인 입력으로 클럭에 상관없이 동작한다. ... 또 SRAM은 주기적으로 데이터를 읽어주지 않아도 시스템의 전원이 살아있는 한 데이터를 그대로 보존하는 장점을 가지고 있다.SRAM은 쓰기와 읽기를 동시에 한다는 점에서 DRAM과 ... Clear가 0이면 Q값을 무조건 0으으로 입력을 출력으로 전달하는지, 클럭을 기준으로 입력을 출력으로 전달하는지의 차이가 있다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 11차 예비보고서
    단, CLK 입력에 클럭 입력 대신 버튼 스위치를 연결하여 버튼을 누를 때마다 카운트가 증가하도록 설계한다. ... 2us이어서 주파수는 0.5 MHz이고, Q2의 파형의 주기는 4us이어서 주파수는 0.25 MHz이다. ... Asynchronous 4-bit counter과 같이 비동기식 4진 카운터에 구형파(square wave)를 인가하는 PSpice simulation을 한 결과, Q1의 파형의 주기
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • VHDL_3_RAM,ROM,JK Flip Flop, Register
    이것을 방지하기 위해 주기적으로 전압을 계속 공급하는데 이것을 refresh라고 한다.ROM은 읽기만 가능한 메모리로 정의된다. ... 선언8~9)generic 절에서는 프로그래머가 보다 인식하기 쉽도록 숫자가 아닌 문자로 표현하기 위해 선언함10~16)Port는 write를 허용하는 입력, 주소, 데이터 입력, 클럭을 ... 한 clock에 모든 FlipFlop이 동시에 입력을 받을 수 있고, 동시에 출력이 가능하도록 한다.2.소스코드 설명Shift Register1~2)라이브러리 선언3~7)리셋, 클럭
    리포트 | 13페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 컴퓨터 활용능력 1급 필기 1과목정리
    관한 설명문제• 컴퓨터는 전류가 흐르는상태와 흐르지않는 상태가 반복되어 작동하는데, 이 전류의 흐름을 말함• CPU는 클럭 주기에 따라 명령을 수행, 클럭 주파수가 높을수록 연산 ... 속도가 빠르다 할 수 있음• PC의 클럭 속도 단위는 보통GHz를 사용하는데 1GHz = 1,000,000,000Hz의미• 컴퓨터의 메인보드에 공급되는 클럭은 CPU의 속도에 맞춰 ... 파일을 이전버전으로 되돌리고자 할 때*참고파일의 단편화 개선, 디스크 접근속도 향상시 디스크 조각모음 실시, 시스템복구x디스크 조각모음을 수행할 수 있는 대상외장하드 디스크 드라이브클럭주파수에
    시험자료 | 20페이지 | 5,000원 | 등록일 2020.02.27
  • 상용 프로세서의 명령어 형식(x86)
    하나 이상의 클럭 주기로 하나의 명령어 실행 - 명령어가 여러 클럭 주기를 요구하더라도 , 복합한 프로세스 결과를 전반적으로 보다 효율적으로 처리 [ 예 ] PDP 계열 프로세서 ,
    리포트 | 25페이지 | 2,500원 | 등록일 2020.09.20
  • 공학 졸업논문입니다
    초음파 센서는 최소 10uS이상의 주기적인 펄스 폭을 입력으로 사용하며 트리거 1펄스가 인가설정할 수 있다.- In-System 프로그램을 위한 SPI 인터페이스 방식을 지원한다.● ... 포트A는 클럭이 동작하지 않더라도 리셋 상태이면 HIGH나 LOW가 아닌 Tri-stated 상태가 된다.PORT B포트 B는 반이중 I/O 포트로 내부 풀업저항이 있다. ... 포트B는 클럭이 동작하지 않더라도 리셋 상태이면 HIGH나 LOW가 아닌 Tri-stated 상태가 된다.PORT C포트 C는 반이중 I/O 포트로 내부 풀업저항이 있다.
    리포트 | 14페이지 | 2,500원 | 등록일 2022.02.16
  • Delta Modulation Tims 결과레포트
    우선 master signal에서 클럭 신호가 sampler 부분의 클럭에 인가된다. ... 하지만 PCM의 경우 광대역이 필요한데, 이러한 단점을 해결하기 위해 나온 것이 델타 변조로, 델타 변조는 동작을 보면 입력 신호를 주기적으로 입력 메시지 신호로 샘플링 하고 이전의
    리포트 | 6페이지 | 1,000원 | 등록일 2020.10.05
  • ATmega128을 이용한 전자피아노(메트로놈, 자동노래재생 기능 추가)
    .- 타이머 CTC모드를 이용하여 피아노 음 출력하기타이머는 일정 주기클럭 입력 수에 따라 동작한다. ... 타이머가 동작 하려면 클럭 소스가 선택되어야 하며 분주기(Prescaler)를 통해 내부 클럭을 사용한다.음을 출력하기 위해서는 Timer/Counter 1 CTC모드를 이용한다.
    리포트 | 33페이지 | 3,000원 | 등록일 2020.01.14 | 수정일 2023.08.24
  • 비안정 멀티바이브레이터 레포트
    클럭과 같이 연속된 직사각형 파 발생회로 또는 시간 발생기로 사용. ... 반전주기는 저항 R1, R3 그리고 콘덴서 C1, C2에 의해서 결정된다.3. ... 그리고 반대로 트랜지스터 V2가 도통상태일 때는 트랜지스터 V1이 차단상태가 되는 동작을 일정주기로 반복한다.
    리포트 | 9페이지 | 1,500원 | 등록일 2020.11.17
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    위 코드는 클럭 1MHz를 입력 받아 3옥타브의 ‘도’음이 출력되는 코드이다. ... 여기서 buff값이 주기를 따라 진동하면서 piezo 출력이 소리가 나게 한다.테스트벤치의 결과 다음과 같은 파형이 나타나는 것을 확인할 수 있다.코드의 결과와 일치한다.4. ... 통해 전달하고 어느 곳에 나타나게 할 것인지를 결정하는 것이다 seg_con(common 단자)이다.위 코드는 다이나믹 7segment의 코드이며 case문 안에 설정된 데이터들이 클럭
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:00 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대