• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(715)
  • 리포트(691)
  • 시험자료(15)
  • 자기소개서(5)
  • 방송통신대(4)

"4-bit Adder" 검색결과 181-200 / 715건

  • 2020년 2학기 방송통신대학교 방통대 컴퓨터과학개론 기말과제
    최상위 비트 사용 시 양수라면 0, 음수라면 1을 저장하며, 4비트를 기준으로 와 같이 4비트 내에서 표현할 수 있는 가장 큰 수는 +7이며 가장 작은 수는 으로 -7이다.부호화-크기 ... 이 때, 부호를 나타내는 최상위 비트는 MSB(Most Significant Bit)라고 부른다. ... 답안 작성※ A4용지 편집 사용1.
    방송통신대 | 6페이지 | 5,000원 | 등록일 2021.04.24
  • 시립대 전전설2 Velilog 예비리포트 4주차
    올림 입력 비트를 추가시킨 회로).(3) 4비트 가산기 : 전가산기가 1비트의 값을 더한 가산기라면, 멀티 비트 가산기(Multi-Bit Adder)인 4비트 가산기를 설계하여 보자 ... 목적배경 이론실험 장비실험 전 과제반가산기,전가산기4비트 가산기XOR 게이트를 이용한 감산기4비트 감산기실험 전 응용 과제 preview1-bit Comparator4-bit Comparator참고 ... bit Comparator프로젝트 생성, 로직 설계 및 컴파일코드해석 : 4비트 비교기를 작성하기 위해 1비트 비교기 4개를 아래에 달아놓았다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 서울시립대학교 전전설2 4주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    -8~ 7의 정수를 4비트로 표현하여보자.A4-bit binary-A2’s complement000000000*************010-2111030011-3110140100-4110050101 ... ~7의 정수를 4비트로 표현하는 방식을 조사하시오. ... Behavioral level modeling방식으로 구현을 하였는데, 이상 없이 결과가 나옴을 확인하였다.2) 실습 2실습 1의 연장으로 1-bit 전가산기를 위의 1_bit_half_adder
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 주어진 5개의 문제중 3개를 선택해서 푸는 정보통신개론 총괄과제
    )- Modulo-2 덧셈기(Modulo-2 Adder)- 병렬-직렬고 서적 ... 첫 번째 과제 ****************** -3-2. 두 번째 과제 ****************** -4-3. 세 번째 과제 ****************** -5-4. ... 과제를 마치며 ****************** -8-첫 번째 과제다음과 같은 (12,8) 해밍 부호에서 기수 패리티라고 가정하고 해밍 비트 (=1,2,3,4)을 결정하는 방법에서
    리포트 | 8페이지 | 3,000원 | 등록일 2020.11.16 | 수정일 2020.11.24
  • 인하대 컴퓨터구조론 과제 mips pipeline 설계
    그리고 명령어의 상위 6비트를 OPcode로 할당하여, Opcode=0이면 R-type instruction, Opcode=4 이면 beq 명령어 등 Opcode에 따라 각각의 명령어들을 ... Adder 모듈은 add 연산에 사용 되고, Shift_Left_2Bit 모듈은 branch target address 계산 시 사용된다. ... $1$5$60slt·00A1682AR-type$5$1$130slt·00047082R-type$4$7$21srl·8C0F0004lw$015···4AC0F000Csw$015···121045FFF9beq
    리포트 | 10페이지 | 2,000원 | 등록일 2021.04.01
  • 컴퓨터구조 계산기설계보고서
    to 1 MUX- SA, ALU 로부터의 데이터 선택742834bit Full Adder- 연산 동작 수행7476J-K Flip-Flop- 발생된 Carry 저장 및 SC7474D ... 출력값은 T2, T4, T6은 MUX부분의 select, enable단자, 캐리의 JK-FF의 입력부분, 4-bit shift register의 select input부분으로 연결이 ... 그리고 값을 출력하며 연산 중 캐리가 발생하였다면, 캐리 값은 C로 들어가게 될 것이다.4)사용한 소자741944-bit Shift Register- A, B Register741572
    리포트 | 9페이지 | 1,500원 | 등록일 2020.01.01
  • 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    입력 결과이다.)Results of Lab 6.- 다음의 1-bit full adder 회로를 gate primitive 방법으로 설계하시오.- 진리표ABCinCoutS0***** ... 수행 과제(1) Lab 1- 2-input AND Gate의 설계를 bit operators(비트 연산자)를 이용하여 디자인하고, 테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를 ... of Lab 4.SkipResults of Lab 5.- Four-bit 데이터 a[3:0]와 b[3:0]의 XOR 출력 y[3:0]를 다음의 각 방법으로 구현하시오.
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 가감산기 8bit addsub8 설계 베릴로그
    이제 full adder모듈을 순서에 의한 할당을 할 것이므로module fa ( A ,B ,Cin ,Cout ,S ) 변수들의 순서를 잘 기억해둠.adder - subtractor ... 디지털시스템설계 #3 Report2018. 5. 10 제출전가산기 8개로 -128~+127 까지 표현 가능한 8비트 가산기. 이전캐리가 다음 전가산기값에 영향을 줌. ... A[4],B4,C3,C4,result[4]);fa U5(A[5],B5,C4,C5,result[5]);fa U6(A[6],B6,C5,C6,result[6]);fa U7(A[7],B7,
    리포트 | 18페이지 | 2,500원 | 등록일 2021.04.09
  • 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Behavioral modeling(6) Lab 6 (응용과제)- 다음의 1-bit full adder 회로를 gate primitive 방법으로 설계하시오.이 때 화살표를 한 부분은 ... 수행 과제(1) Lab 1- 2-input AND Gate의 설계를 bit operators(비트 연산자)를 이용하여 디자인하고, 테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를 ... (입력: BUS SW, 출력: LED1~4)테스트 입력 값 : a[3:0] = 0011, b[3:0] = 0101i) bit operatorsii) Gate Primitiveiii)
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 아주대 논리회로실험 실험3 가산기 & 감산기 예비보고서
    실험 이론1) 반가산기ABSC _{out}*************101- 반가산기(Half-adder)는 간단한 1비트 연산을 하는 가산기로써 1비트 입력 A, B를 더하여 입력 비트에 ... 따라서 이를 식으로 표현하면B _{out} =A BULLET {bar{B`}}와 같다.4) 전감산기- 전감산기는 반감산기에 존재하던 입력 A, B이외에 추가적인 입력B _{"in"} ... 반감산기 실험4. 전감산기5. 실험 과정실험1.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 아주대학교 논리회로실험 / 3번 실험 예비보고서
    /Substractor (병렬 가감산기)본 실험에서 다루지는 않으나, 전 가산기 여러 개를 병렬로 연결하여 2비트 이상인 가산기를 만드는 것이 가능하다. ... A, B를 입력하면 뺄셈 A-B의 값은 D로 출력되고, 빌림 수는 B로 출력된다. ... 그리고 실험 과정과 결과를 통해 가산기와 감산기의 입-출력이 각각 어떤 의미를 갖는지 확인하는 것을 목적으로 한다.2.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    *Full Adder module*Full Adder 8bit moduleFull-Adder module을 8개 붙여놓은 module이다.(4) SimulationA에 3을 인가하고 ... Adders→ Adds two N-bit binary numbers-2-bit adder: adds two 2-bit numbers, outputs 3-bit result-e.g., ... (16+16)} `=`~4 billion rows-32-bit adder : ...⑵ Big truth table with numerous 1s/0s yields big logic-Plot
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • 서울시립대 전자전기설계2(전전설2) 2주차 결과보고서
    8개이며 Sum 출력포트가 4개, Cout포트가 1개인 4비트 리플캐리 전가산기의 회로도이다. ... 응용과제(리플캐리 전가산기 작성)응용과제는 이전에 작성한 전가산기를 불러와 4비트 리플캐리 전가산기를 만드는 과제이다. ... 2019년 전자전기컴퓨터설계실험22주차 실험보고서학번 : 2015-610019성명 : 윤종민1.
    리포트 | 9페이지 | 1,500원 | 등록일 2019.10.13
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 9차 결과보고서
    아날로그 및 디지털 회로 설계 실습결과보고서설계실습 9. 4-bit Adder 회로 설계소속중앙대학교 창의ICT공과대학 전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.11.16제출날짜2023.11.234 ... 설계 실습 내용 및 분석4-4-1. ... -4.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • 컴활 1급 필기 핵심정리 (빈칸문제O) -벼락치기 가능
    4. ... 명령 레지스터, 번지 레지스터, 기억 레지스터★ 연산 장치- 가산기(Adder) / 보수기(C ) : / 누산기(A ) : /데이터 레지스터 / 프로그램 상태 워드( ) : 의 상태 ... Zone ( )비트, Digit는 ( )비트 / ( 비트, 가지) / 대소문자 구별( )- ASCII 코드 : Zone ( )비트, Digit는 ( )비트 / ( 비트, 가지) /
    시험자료 | 9페이지 | 1,500원 | 등록일 2021.05.31 | 수정일 2021.06.01
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서3
    Making FA Using two-HALogic diagramAnalysis전가산기 (full adder)는 이진수의 한 자릿수를 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다 ... 정직성: 청구 또는 견적을 함에 있어 입수 가능한 자료에 근거하여 정직하고 현실적으로 한다.4. 뇌물 수수 금지: 어떠한 형태의 뇌물도 거절한다.5. ... 나아가 2비트를 계산하는 연산 회로를 직접 만들고 원리를 이해한다.3. 논리회로가 취급하는 데이터와 우리가 사용하는 데이터의 관계를 이해한다.1) 실험 과정 및 결과실험1?
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.24
  • 서강대학교 21년도 디지털논리회로실험 6주차 결과레포트 (A+자료) - Flip-flop, Registers
    LED_0 – LED_3 에 즉시 반영되었다.그림11-4 (a) (b)이 때, 그림 11-4의 (a)처럼 입력을 바꾼 후, DIO4를 low로 만들었다. ... SR latch의 function table표가 작성된 과정은 다음과 같다.그림3-2(입력 RS와 출력 QQ’를 2-bit 숫자로 표현하겠다.)일단 입력이 00이고 출력이 01인 ... Clock이 첫번째 FF에밖에 연결되어있지 않고, 나머지 FF들은 이전 FF의 출력을 clock 신호로 삼기 때문에, 마치 ripple adder의 carry oS76
    리포트 | 20페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 디지털회로설계 과제 Full custom desgin
    또한 FPGA, semi-custom design과 비교해서 Speed도 빠르다.full-custom design은 sence amplifiers, decoders, adders, ... 장점: 완벽한 유연성과 높은 수준의 최적화와 성능을 보여준다.4. ... 아래 그림을 보면, truth table에서 f의 열이 4개 이므로, LUT는 2개변수의 logic function을 구현할 수 있으며, 4개의 storage cell을 가진다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서울시립대 전자전기설계2(전전설2) 2주차 사전보고서
    이 블록은 2048bit가 있으며 이것은 각각 RAM, ROM, FIFO, Dual-port RAM 등을 구현할 수 있다. ... XC3S200가 최대로 구현 가능한 논리 게이트의 개수는 200000개이며 논리 소자/셀 개수는 4320개이다.4. ... 이러한 Logic Element에는 8비트Counter, Address dcoder, State achine과 같은 중규모 로직 블록을 만들 수 있다.
    리포트 | 4페이지 | 1,500원 | 등록일 2019.10.13
  • 전전설2 실험1 결과보고서
    LED 동작 전압)/LED전류 = 3/0.01 = 300Ω[2-4] 1-bit 반가산기와 전가산기에 대하여 논리 회로도 및 동작을 조사하시오.두 개의 입력 비트(A, B)를 더하여 ... 배경 이론 및 사전조사[2-1] TTL과 CMOS의 입력 및 출력 전압 전류에 대하여 조사하시오.TTL :입력 전압출력 전압입력 전류출력 전류High22.720μA-0.4mALow0.80.4 ... 인지하지 못해 FULL ADDER를 구현할 때 트렌지스터를 5개를 써야한다고 생각해서 5개를 연결하려고 하니, 브레드보드의 공간이 부족했다.브레드보드는 가로로 5줄이 연결되어 있기에
    리포트 | 8페이지 | 1,000원 | 등록일 2023.11.17
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대