• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(715)
  • 리포트(691)
  • 시험자료(15)
  • 자기소개서(5)
  • 방송통신대(4)

"4-bit Adder" 검색결과 121-140 / 715건

  • 디집적, 디지털집적회로설계 실습과제 12주차 인하대
    bit를 모두 bit 덧셈 연산하여 Sum에 출력하며 자리 올림 carry가 발생한 경우 cout에 1이 출력된다.Simulation 결과 Adder가 정상 작동하는 것을 확인할 ... sum에는 inverter를 연결하여 cout과 sum이 출력되도록 했다.그림4는 그림1의 CMOS Full Adder layout에서 spice 시뮬레이션을 위해 netlist 코드를 ... Adder의 1.2151E-10가 Subcell을 사용해 작성한 Full Adder의 3.0761E-10 보다 작게 측정되었다.
    리포트 | 17페이지 | 1,500원 | 등록일 2021.08.31
  • [아날로그 및 디지털 회로 설계실습] 결과보고서(과제)9
    부울대수 및 조합논리회로 ( 4-bit adder )과제1. ... XOR Gate를 이용한 Full Adder 회로를 Pspice를 사용하여 직접 설계하시오.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.14
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    이렇게 carry가 chain을 일으키며 더해지는 4-bit adder4-bit ripple carry full adder이다.3. ... 191번에 연결해야 한다.- 4-bit ripple carry full adder의 구조에 대하여 조사하시오.LSB 자리의 두 수와 가 1-Bit Full Adder에 input으로 ... [응용과제] 위에서 설계한 1-bit Full Adder를 symbol 로 이용하여 4-bit Ripple Carry Full Adder를 schematic 설계한다.입력 : A[3
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    대하여 조사하시오. 4-bit Carry Look Ahead 구조도 4-bit Carry Look Ahead 회로도- 덧셈은 정보처리의 기본중에 기본이기 때문에 고속 정보처리를 위해서 ... Half-adder Half-adder 회로도- 반가산기(Half-adder)는 이진수의 한자리수를 연산하고, 자리올림수 출력(carry out)에 따라 출력한다. ... 이는 2N에 해당하는 회로 지연을 가지며, AND, OR 게이트로 구성된 가산기의 경우 3N에 해당하는 회로 지연을 갖는다.(7) 4-bit Carry Look Ahead의 회로 구조에
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서3
    .- 전가산기 (Full adder): 컴퓨터 내부에서 여러 비트로 된 두 수를 더할 때에는 두 비트에서 더해진 결과인 캐리는 더 높은 자리의 두 비트의 덧셈에 추가되어 더해진다. ... 이와 같이 세 비트의 덧셈을 집행하는 회로를 전가산기(Full adder, FA)라 하고, 캐리를 생각하지 않고 두 비트만을 더하는 회로를 반가산기(half adder, HA)라 한다 ... 그 때 여러 가지 산술 연산을 만나게 되는데, 그 중 가 장 기본적인 산술연산은 두 비트의 덧셈연산이다. 이러한 간단한 덧셈은 4가지 가능한 기본 연산들로 구성된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    아래 사진은 진리표의 순서와 동일하다.)Results of Lab 4.SkipResults of Lab 5.Single-bit Full Adder 로직 설계Demo inputA : ... half Adder에서 A, B를 더해서 S와 Carry를 출력하는 것을 볼 수 있었다.3) 실습 3Single-bit Full Adder에서 A, B, Cout의 입력을 받고, ... of Lab 3.Single-bit Full Adder 로직 설계- 진리표ABCinCoutS0000000101010010111010001101101101011111- 실험 결과 (
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 서울시립대학교 전전설2 1주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    개수를 2진수의 형태로 바꾸어 출력해주는 것이다.이를 활용하면 밑의 4-bit adder와 같이 큰 비트의 연산도 가능하다. 1-bit full adder 4-bit full adder ... 불가능하다. 1-bit half adder HYPERLINK \l "주석6"[6](6) 전가산기반가산기의 형태에서 입력이 한 개 더 추가된 형태이다.이 모델은 간단하게 3개의 입력의 ... HYPERLINK \l "주석7"[7]ABCinSumCout0*************001101100101010111001111111-bit full adder 진리표(7) 조합
    리포트 | 14페이지 | 무료 | 등록일 2020.07.22 | 수정일 2020.09.15
  • VHDL-1-가산기,감산기
    포트의 입출력을 지정한다. 8bit가 필요하므로 7~0 총 8개의 비트를 할당했다.--1을 넣으면 감산기 역할을 하는 회로를 만들 수 있다. ... in std_logic;add_sum : out std_logic_vector(4 downto 0));end adder_substractor_4bit;architecture sample ... of adder_substractor_4bit iscomponent fulladder_hdl isport (fa : in std_logic;fb : in std_logic;fcin
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    Half Adder의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.-4-bit Adder를 Verilog HDL을 이용하여 설계하고, FPGA를 ... 실험 장비-digilent nexys4 FPGA board-development environment (Altera, Xilinx)-Vivado Design Suite 2014.4- ... -Field Programmable Gate Array(FPGA) board의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다.-1-bitFullAdder
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • VLSI설계및실험Practice4
    실험제목1. 2-stage pipelined 22-bit Ripple Carry Adder2. 2-stage pipelined 20-bit Carry Select Adder3. ... 또한 여기서 RCA를 지난주 실험의 Non-pipelined RCA와 비교하면 Delay가 4.62 vs 7.95로 대략 반 정도의 delay 감소가 있음을 알 수 있고SRCSA의 ... Bonus Experiment 2-stage pipelined 22bit Square Root Carry Select AdderRCA와 CSA를 비교하면 CSA가 더 빠른 연산속도를
    리포트 | 11페이지 | 1,000원 | 등록일 2020.07.29 | 수정일 2021.10.27
  • 서강대학교 디지털논리회로실험 레포트 5주차
    따라서 이는 2-bit full-adder로 볼 수 있을 것이다.DIP_SW4가 1일 때는 B가 역시 DIP_SW4와 XOR gate에서 연산을 하며 들어가는데, DIP_SW4가 1이므로 ... 이전 장에서 배운 exclusive-OR의 동작은 1-bit comparator로 해석할 수 있다.그림 1은 4-bit 이진수를 비교할 수 있는 comparator이다. ... 그림에서 각 소자들은 비교하는 두 수와 함께 직렬 연결을 위한 신호들의 입력과 출력을 포함한다.그림 SEQ 그림 \* ARABIC 1. 74x85 4-bit comparator그림
    리포트 | 25페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • [아날로그 및 디지털 회로 설계실습] 예비보고서9
    아날로그 및 디지털 회로설계실습(실습9 예비보고서)소속전자전기공학부담당교수수업 시간학번성명예비 보고서설계실습 9. 4-bit Adder 회로 설계 ( 부울 대수 및 조합논리회로 )실습날짜2021.11.15 ... (E) 설계한 회로중 하나를 선택하여 2-bit 가산기 회로를 설계한다.1bit adder 2개를 이어붙인 형태로 회로를 구성해보았다. ... AND-OR(NAND-NAND) 또는 OR-AND(NOR-NOR) 로직 회로를 설계한다.S= bar { A}bar{B}C _{ i}+A bar{B}C_{i}+AB bar{C_{i}
    리포트 | 6페이지 | 1,500원 | 등록일 2022.09.14
  • 6장 가산기와 ALU 그리고 조합논리회로 응용 예비
    반가산기(Half Adder)1비트의 이진수로 표시된 두 수를 합하여 그 결과를 출력하는 가산기를 반가산기라고 한다. ... 또한 4비트 기능선택 입력과 1비트 모드 선택 단자(M)가 있으며,각 비트의 조합으로 원하는 산술 및 논리연산을 수행할 수 있다. 74X181의 기능을 표 6-3에 나타내었다.3)74181ALU의 ... 16가지의 산술 및 논리연산을 수행할 수 있으며 2개의 4비트(A,B) 입력과 1개의 4비트 출력(F)을 가지고 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    -전가산기(Full Adder) : 아래 자릿수에서 발생한 캐리까지 포함하여 세 비트를 더하는 것이 가능한 논리회 로이다. ... -병렬 가감산기(Parallel Adder-Subtracter) : 여러 자리의 2진수를 더하고 빼기 위한 연산회로이다. ... 회로 입력이 변화 할 경우에만 출력 값이 변함으로 클록이 없는 메모리 소자를 사용한다.(4) 종류?
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
  • VHDL코드를 이용한 4비트 감가산기 구현
    VHDL code--4bit subadder--library ieee;use ieee.std_logic_1164.all;package mydata issubtype adder_range ... 온 시키는 해당 위치의 값에 ‘1’을 대입하여 0000(2)에서 1111(2)까지 값을 입력②왼쪽의 4비트를 a, 오른쪽의 4비트를 b 로 지정하여 sw라는 3단 스위치가 1단일 때는 ... 디지털 시스템 Term project 포트 폴리오설계 과제명Digicom V3.32와 quartusII를 이용한 4비트 감가산기 구현과목명디지털 시스템담당교수ooo 교수님기간-설계
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 논리회로설계실험_반가산기/전가산기 결과레포트
    덧셈이 성공적으로 이루어지는 것을 확인할 수 있었다.- 실험 3. 8비트 병렬 가산기를 설계하시오.1) Schematic Design전가산기를 모듈화 하여 만든 4bit adder실습자료의 ... 이를 모듈화하여 4bit와 8bit 병렬 가산기까지 그려본다.2. 실험 결과- 실험 1. ... 4bit adder 두 개를 합하여 만든 8bit 병렬 가산기와 그 모듈화한 과정을 나타낸 것이다.2) 테스트 벤치 코드signal c_in과 A, B에 각각 초기값을 설정해주었다.테스트벤치에서는
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • Verilog를 사용한 설계과제(4bit CLA 모듈, State table)
    아래 비트부터 carry를 전달하는 Ripple-carry adder 대신에, 한번에 각 비트에서 carry의 발생여부를 판단해 덧셈 시간을 획기적으로 단축하는 방법이다. ... CLA의 확장 방정식은 인터넷을 참고해 코딩하였다.이 확장 방정식에 의해 C0~C3의 값이 결정된다. 4bit adder로서 sum이 [3:0] carry_out 1bit로 4bit를 ... HW 1설계 코드와 주석테스트벤치 코드테스트벤치에서 초기값을 0으로 선언한 후, #100을 통해 a, b, ci에 100ns 뒤에 각각 4bit씩 입력하였다.시뮬레이션 결과고찰CLA란
    리포트 | 14페이지 | 1,000원 | 등록일 2020.04.03
  • 논리회로설계실험 BCD가산기 레포트
    codeBCD to 7segBCD의 값을 7-segment로 바꿔주는 코드이다.input으로 4bit의 수를 받는다(5행).output으로 7bit의 수를 출력한다(6행).case문을 ... 바꿔주는 코드이다.input으로 4bit의 수를 받는다.(6행)output으로 7bit의 수를 출력한다.(7행)아래는 seg(7)에서 seg(1)순서이다.f(a)`= {bar{A prime ... 위해 ALIAS를 사용하였다.(14행-20행)ARCHITECTURE내부에 있는 SIGNAL을 선언해준다.(5비트의 합과, 캐리) (22행-23행)두 개의 4비트 벡터를 더하면 5비트
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • FPGA [ 연산자 & 순차처리문 & 병행처리문]
    실습 [a + b = y_out]adder2016265060의 코드는 덧셈 연산자를 이용하여 만든 코드이다. 4bit unsinged adding을 위해서 use ieee.std_logic_unsigned.all을 ... 즉 a4와 b4의 값은 ‘0’으로 하여 4비트가 되도록 하였으며 a와 b가 덧셈을 하여 캐리 가 발생할 경우가 있으므로 5비트로 하였다. ... 입력값 a 와 b가 4 downto 0(=5bit)인데 a 와 b 입력파형은 0부터 15(=0000~1111)값만 입력하였다.
    리포트 | 12페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 예비보고서(7 가산기)
    구성한 전가산기가 병렬 가산기(parallel adder)이다. 4비트 병렬 가산기의 개념도를 보인 것이다. ... 이러한 단점을 보완할 수 있는 가산기로는 캐리 룩어헤드 가산기가 있다.(4) 직렬 가산기직렬 가산기(serial adder)는 전가산기 하나만을 이용하여 N비트의 가산을 할 수 있는 ... 그림 8에는 비트 4비트 ALU 74181과 이에 대한 16가지의 논리연산이 도시되어 있다.그림 8(a)의 ALU에서A_3{A_2}{A_1}{A_0은 입렵 A이고B_3{B_2}B_1
    리포트 | 9페이지 | 2,000원 | 등록일 2020.10.14
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:11 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대