• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(715)
  • 리포트(691)
  • 시험자료(15)
  • 자기소개서(5)
  • 방송통신대(4)

"4-bit Adder" 검색결과 61-80 / 715건

  • 4 bit adder / 4:1 multiplexor / 2bit to 4bit decoder 설계과제 (verilog)
    1. 4bit adder1-bit 전가산기를 설계하여 4개를 결합, 4bit 가산기를 만든다. ... 본 코드에서 설계된 디코더는 2개의 입력으로 2bit의 binary 수를 입력받아서, 2의 2승, 즉 4개의 출력회선의 번호가 binary값에 해당하는 번호에만 1을 출력하는 디코더이다 ... 이 결합의 과정에서 벡터 변수를 사용하여 가산기의 입출력 수치를 관리할 수 있도록 설계하였다.2. 4:1 multiplexor multiplexor, 즉 MUX란 selection
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 정실, 정보통신기초설계실습2 9주차 결과보고서 인하대
    진리표와 결과가 완전히 일치한다.4-bit full adder4비트 full adder의 구조는 간단하게 이해하자면 full adder를 4개사용하여 각 자리수마다 가산을 진행하는 ... 위에서부터 X, Y, B0, D, B순서이고 결과는 진리표와 동일하다.4-bit Full subtractor4비트 full adder와 마찬가지로 4개의 full subtractor를 ... ARABIC 5 : simulation4비트 adder의 모듈은 full adder를 4번사용한것이므로 모듈파일은 full adder의 모듈과 동일하다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 디코더, mux, comprator, 4비트 감가산기
    =(((a0&(a0^b0))'|(a1^b1))&(a1&(a1^b1))')'4bit-adder-subtractor위 식은 4bit 가감산기를 나타낸것인데 이는 하나의 회로에서 덧셈과 ... 제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor2. 내 용 :Decoder위 식에서는 2개의 입력값과 4개의 출력 값이 있습니다. ... 그리고 a와 b값을 8비트 연산으로 연결해주었습니다. 여기서 op값이 1로 지정해주어서 감산기를 만듭니다.4.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 디집적, 디지털집적회로설계 실습과제 7주차 인하대
    4-bit Full Adder그림1은 4bit Full adder의 코드이다. 우선 library 파일을 작성해주었다. ... Half adder까지 subcircuit을 작성하고 바로 full adder를 구현해도 되지만 입력 bit가 늘어나는 경우(ex) 4bit full adder)도 있을 수 있기 때문에 ... 1bit full adder도 나중에 쓰기 편하게 subcircuit으로 구현해 두었다.그림 SEQ 그림 \* ARABIC 3 : 4bit Full adder simulation그림
    리포트 | 8페이지 | 1,500원 | 등록일 2021.08.31
  • [전자전기컴퓨터설계실험] MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오.
    확인하였다.(2)위에서 생성한 전가산기 셀을 이용하여 4-bit 가감산기를 설계하시오.4-BIT Adder Subtractor 심볼4-BIT Adder Subtractor 심볼위 ... 8~+7을 벗어나면 계산 결과값을 4-BIT로 표현할 수 없고 이 경우를 정수 오버플로우라고 한다. 3번째 비트에서의 CARRY와 4번째 비트에서의 CARRY를 XOR로 묶으면 오버플로우가 ... (1)MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오.두 1비트를 더하는 계산을 할 수 있는 전가산기는 진리표를 바탕으로 구성하였다.X와 Y, 두
    리포트 | 3페이지 | 1,500원 | 등록일 2019.12.09
  • 디코더, mux, Comparator, 4비트 감가산기
    제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor2. ... (출처 - [네이버 지식백과] 비교 회로 [comparator, 比較回路] (전자용어사전, 1995. 3. 1., 성안당))4)4bit-adder-subtractor(출처 -http ... -1-in-a-4-bit-binary-a)
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 예비 레포트 Combinational Logic 1
    pin(3) Four-bit 가산기1) Behavioral level modeling: if 문 사용4bit_Full_adder4bit_Full_adder test bench4bit_full_adder ... test bench4bit_full_adder simulation(4) Four-bit Comparator1) A와 B의 쌍이 8가지 이상 포함되는 테스트벤치로 시뮬레이션1. 1bit ... test benchHalf_adder simulationHalf_adder pin(2) One bit 전가산기1) 1비트 반가산기의 module instantiationmodule
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    설계 결과1) 소스코드BCD adder1bit adder- 구조적 모델링을 사용하여 bcd 가산기를 설계하였다. ... 먼저 한자리 수 가산기를 작성하였는데, 그에 해당되는 bcd는 4bit 2진수이다. ... ) BCD to 7 segment Decoder- BCD-to-7세그먼트 디코더는 디코더의 일종으로, 4비트로 구성된 BCD 값을 입력으로 받아들여 7 segment에 각 디스플레이가
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 성균관대학교 디지털집적회로설계 cad과제 4
    Cin=1로 설정하면 첫 번째 4bit CSA cell의 첫 carry cell의 delay가 최대가 될 것이다. ... Carry와 mux cell의 delay가 adder의 delay에 가장 dominant하다. ... 계산한 worst case 보다 약간 작은 값이 나왔다. 16 bit가 각각 독립적으로 계산되지 않고 서로 종속적으로 계산되기 때문에 완벽하게 모든 cell을 worst로 만들 수
    리포트 | 20페이지 | 2,000원 | 등록일 2021.05.31
  • 전자전기컴퓨터설계실험2(전전설2) (2) HBE COMBO II SE VerilogHDL Lab
    Half Adder (21)3.3. Full Adder (22)3.4. 4-bit Ripple Carry Adder (25)Ⅲ. 결론 (27)Ⅳ. 참고문헌 (27)Ⅰ. 서론1. ... Adder (17)2.2.1. Half Adder (18)2.2.2. Full Adder (18)2.2.3. 4-bit Ripple Carry Adder (19)3. ... 이로써 Xilinx에서 프로그래밍한 전가산기가 실제 회로상에 구현한 전가산기와 동일하게 세 입력의 합과 자리 올림수를 구해낼 수 있음을 알 수 있다.3.3. 4-bit Ripple
    리포트 | 28페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • 전전설2 3주차 실험 결과레포트
    bit XOR 실험)실제로 버스 스위치1~8과 스위치 1을 4비트 XOR 게이트의 입력, LED1을 4비트 XOR 게이트의 출력으로 봤을 때 실험결과가 4비트 XOR 게이트의 입력에 ... .3’b01x를 실제로 비트로 표현한다면 3비트이고 2진수지만 수 끝에 x가 붙어있으므로 LSB(Least Significant Bit, 최하위 비트)가 정해지지 않았으므로 정확한 ... 숫자를 나타낼 수가 없다.4’h4를 실제로 비트로 표현한다면 4비트이고 16진수로 4를 나타낸 것이므로 4가 된다.Verilog에서 wire 형과 reg 형의 차이점을 조사하시오.기본적으로
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • 디집적, 디지털집적회로설계 실습과제 9주차 인하대
    결과적으로 - 이 0에 가장 가까울 때 최적화된 P/N ratio는 2.5533이라는 것을 알 수 있다.1-bit Full Adder의 Delay, Power그림 15는 1-bit ... 첫번째 rise인 300ns근처에서 이 측정되었다.그림 4는 NAND gate의 power를 측정하는 코드이다. ... 그림17의 코드는 다른 기본 gate subcircuit은 캡처하지 않고 half adder부터 캡처했다.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.08.31
  • 성균관대학교 디지털집적회로설계 CAD 네번째 과제
    이는 bit1 ~ bit15까지 P(Propagate) 조건으로 만들어 주는 것이다. ... delay = 671.696ps이 또한, 2-②에서 구한 Linear Carry Adder의 delay보다 짧게 나옴을 확인할 수 있다. 3-①과 ②에서 구한 delay를 비교해보면 ... Adder Delay Comparison위의 결과를 보면 hand calculation 결과와 simulation 결과 모두 Linear Carry Adder보다 Square-root
    리포트 | 28페이지 | 3,000원 | 등록일 2020.11.29 | 수정일 2021.07.27
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    4// os_COM은 8비트 출력이다.//5// oS_ENS는 7비트 출력이다.//6// 5비트 벡터 Sum 을 선언한다.//7// P9~P0를 선언한다.//10// adder_4bit ... // wire a1, b1, c1, d1, e1, f1, g1;//9// wire a2, b2, c2, d2, e2, f2, g2;//10// adder_4bit X(Ain,Bin, ... 라인 디코더를 이용한, 4비트 2진값의 8비트 BCD로 변환하는 컨버터 설계2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계3.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 서강대학교 디지털논리회로실험 레포트 8주차
    그림 14는 우리에게 매우 익숙한 4-bit 이진수의 곱셈 과정을 보여준다. 4-bit 두 수의 곱의 결과는 8-bit로 저장된다. ... 아래쪽 4-bit(LP(3:0))을 저장하게 된다.- HP: 하나의 4-bit register로 구현되며 처음에는 0으로 초기화되고 연산이 진행되면서 곱셈 결과의 위쪽 4-bit을 ... 그림 15는 이의 도식화이며 그림 15에서 각 block은 구현에 사용될 4-bit소자를 의미하며 필요에 또는 4-bit register 또는 4-bit shift register를
    리포트 | 20페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    회로도는 1-bit full adder의 logic diagram이다. ... full adder1bit full adder pin설정5. ... 다음의 1-bit full adder 회로를 gate primitive 방법으로 설계하시오.(1) Verilog HDL와 simulationㅁGate_Primitive를 통한 1-bit
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 3주차 예비+결과(코드포함) Verilog HDL
    아래와 같은 코드로 primitive modeling을 통해 1-bit full adder를 구현하였다. ... 시뮬레이션 입력에 대한 DUT의 반응(response)을 관찰한다.다.Simulation1.1-bit Full Adder with primitive modeling method우선 ... 가.실험목표-Verilog HDL 문법을 익혀 이를 활용한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 (결과레포트와 예비레포트 동시에) 1주차 Lab01 TTL gates Lab on Breadboard
    LED의 동작 전압과 전류가 2V와 10mA 라면 5V에 연결할 때 필요한 저항 값은 (5-2)/10mA = 300 옴 이상의 저항이 필요함을 알 수 있다.(4) 1-bit 반가산기 ... 표현한 회로.(1) XOR게이트 진리표ABX = A ⊕ B0000111011103) 반가산기 논리 회로- 반가산기: 두 개의 입력 비트(A, B)를 더하여 합(S)과 자리 올림 수 ... (half adder)와 전가산기(full adder)에 대하여 논리회로도 및 동작을) 교안의 회로를 참고하여 breadboard에 회로를 구성한다.(2) TTL(7432 OR Gate
    리포트 | 23페이지 | 3,000원 | 등록일 2020.07.27
  • 논리회로설계실험 3주차 Adder 설계
    다음으로 구현한 1-bit full adder를 이용하여 4-bit adder를 설계한다. ... 이렇게 구현한 1-bit full adder를 이용하여 4-bit adder 또한 구현할 수 있었다. ... 아래에는 4bit의 입력인 A_4BIT와 B_BIT의 그래프와 그에 따른 4-bit adder의 출력 sum과 Cout의 그래프이다.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • Semiconductor Device and Design - 9-10__
    ://technobyte.org/parallel-adder-subtractor/ [4] https://techweb.rohm.co.kr/knowledge/si/s-si/03-s-si ... F unction of the parallel adder circuit. 4. L ayout of the parallel adder circuit.1. ... Layout of the parallel adder circuit.4. Layout of the parallel adder circuit.
    리포트 | 12페이지 | 2,000원 | 등록일 2023.06.22
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:10 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대