• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(20,866)
  • 리포트(15,678)
  • 방송통신대(3,069)
  • 시험자료(921)
  • 자기소개서(409)
  • 이력서(345)
  • ppt테마(193)
  • 서식(116)
  • 논문(70)
  • 표지/속지(62)
  • 노하우(3)

"clock" 검색결과 181-200 / 20,866건

  • 서울시립대 전전설2 Lab-06 결과리포트 (2020 최신)
    SR플립플롭은 SR래치에 clock을 추가한 회로로 clock이 rising할 때(posedge 기준) 작동한다. ... 이런식으로 총 4번 clock이 rising하면 네 비트 모두에 1이 출력된다.동기식 계수기는 clock이 인가될 때마다 값을 증감하는 회로로 주파수 분주기, 타이밍 제어신호 생성 ... 카운터 cnt=0이 된다. resetN이 falling하는 경우가 아니고 clock이 rising할 경우 정상적으로 카운터는 up된다.
    리포트 | 21페이지 | 1,500원 | 등록일 2021.09.10
  • 운영체제 Factorial 합을 병렬로 계산하는 프로그램 구현후 thread 동기화
    (1){pthread_mutex_lock(&m_lock);for(k=*id;k>0;k--){count=count*k;}printf("%d! ... = PTHREAD_MUTEX_INITIALIZER;pthread_mutex_lock(&m_lock);pthread_mutex_unlock(&m_lock);pthread_mutex_destroy ... = %d\n",*id,count);id--;sum=sum+count;
    리포트 | 5페이지 | 2,000원 | 등록일 2021.11.01
  • 교류및전자회로실험 실험3 순차 논리 회로 기초 예비보고서
    (){pinMode(led, OUTPUT);pinMode(clock, INPUT);pinMode(sw, INPUT);}void loop(){int clk= digitalRead(clock ... (){int clk= digitalRead(clock);int D = digitalRead(sw);int po;if(clk == HIGH){if (D == HIGH){po=1;}else ... =7, led=13, sw=2;void setup(){pinMode(led, OUTPUT);pinMode(clock, INPUT);pinMode(sw, INPUT);}void loop
    리포트 | 8페이지 | 1,000원 | 등록일 2024.06.22
  • VHDL_3_RAM,ROM,JK Flip Flop, Register
    = 000000012) 100ns, memory clock = riging edge, write_enable = 0address = 001, data 초깃값 = data_out = ... , data_out = 111111115) 250ns, memory clock = riging edge, write_enable = 0address = 100, data 초깃값 = ... = 001000007) 350ns, memory clock = riging edge, write_enable = 0address = 110, data 초깃값 = data_out =
    리포트 | 13페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    = 0Y = 0001 = 13) 150ns, clock = falling_edge, Reset = 0Y = 0010 = 24) 200ns, clock = falling_edge, ... Reset = 0Y = 0011 = 35) 250ns, clock = falling_edge, Reset = 0Y = 0100 = 46) 300ns, clock = falling_edge ... 시뮬레이션 결과 및 설명I) 50ns 이하, clock = falling_edge, Reset = 1Y = 0000 = 02) 100ns, clock = falling_edge, Reset
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 운영체제 thread 실습
    = PTHREAD_MUTEX_INITIALIZER;void *t_func(void *data){int *count = (int *)data;int i;pthread_mutex_lock ... = PTHREAD_MUTEX_INITIALIZER;pthread_mutex_lock(&m_lock);pthread_mutex_unlock(&m_lock);pthread_mutex_destroy ... (&m_lock);for(i=0;i
    리포트 | 7페이지 | 2,000원 | 등록일 2021.11.01
  • [A+, 에리카] 2021-1학기 논리설계및실험 Register 실험결과보고서
    negative clock일 경우이므로 오른쪽으로 1비트씩 이동하는 것을 볼 수 있다. ... 관련 이론ü Resister- 공동의 clock input과 여러 그룹의 플립플롭으로 구성되어 있다.- 이진수 데이터를 저장하고 바꾸는 데에 주로 쓰인다.- 특정한 목적으로 외부 정보를 ... 이는 negative clock일 때 데이터 비트의 시프트가 일어난다는 것을 의미하므로 t=t1일 때 데이터 비트가 오른쪽으로 1비트 이동하며, t2, t3, t4일 경우 또한 모두
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 다발성 골수종(multiple myeloma) 사례연구보고서
    IV site 확인, IV lock 확인? 항암제 투여 오른쪽 하복부 사정? 배설 : foley catherter change? ... check V/S q 6hr? 안전 : side rail 올림, 침대고정? IV site 확인, IV lock 확인? 배설 : foley catherter? ... check V/S q 6hr? 안전 : side rail 올림, 침대고정? IV site 확인, IV lock 확인?
    리포트 | 34페이지 | 4,000원 | 등록일 2023.08.09 | 수정일 2023.08.16
  • 서강대학교 디지털논리회로실험 6주차 결과보고서
    만약 clock이 LOW상태라면, Q의 이전상태를 유지하게 된다. ... Latch는 모든 입력 신호변화에 대해 clock과 관계없이 출력이 언제든지 변화된다. ... Flip-flop은 한 clock신호에 따라 입력상태가 sample이 되어 출력의 상태를 변화시킨다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • [A+] 중앙대학교 아날로그 및 디지털 회로 설계실습 예비보고서 12. Stopwatch 설계
    카운터 회로 테스트Function generator를 이용하여 실습서와 동일하게 Frequency는 1 [Hz], Amplitude는 0 ~ 5 [V]의 square wave의 clock ... 매칭을 유의하며 점퍼선을 연결한다. 74HC192라는 BCD 카운터를 사용하였고, Count up mode로 동작시키기 위해 [그림 1]을 참고하면 MR은 Low를, CPu에는 clock
    리포트 | 5페이지 | 1,000원 | 등록일 2023.02.06 | 수정일 2023.02.09
  • 기초실험1 7 segment counter 결과보고서(틴커캐드)
    상태가 바뀌는 조건은 clock가 1->0으로 떨어지는 그 시점에만 해당한다.(2) 7 segment-decade counter: 7 segment와 decade counter를 연결해 ... 또한, 이를 이용해 7 segment와 연결해, clock을 1Hz로 주어 1초마다 숫자가 증가하는 것을 확인할 수 있었다. 1초마다 출력값이 바뀌는 4bit decade counter를 ... 또한 1Hz로 clock을 설정한 결과 1초마다 LED에 표시되는 숫자가 증가하는 것을 확인할 수 있었다.결론 및 고찰이번 실험은 7 SEGMENT DECODER와 7 SEGMENT
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.12 | 수정일 2023.11.29
  • 갈락토올리고당 장 건강개선 기능성식품
    cookies=accepted 단쇄지방산 (Short chain fatty acid)갈락토 올리고당의 생리활성 유익균 , 유해균 , 중간균의 작용 유익균 유산균 , 비피더스균 등 소화흡수 ... blog_id = genofocus co_lang =1 obj_id=780265갈락토올리고당의 구조 및 특징 갈락토올리고당의 특징갈락토 올리고당의 생리활성 소장 내 소화효소에 의해 ... 출처 :http://kr.gobizkorea.com/blog/ kr_catalog_view.jsp?
    리포트 | 19페이지 | 1,500원 | 등록일 2020.09.28
  • Infusion pump 사용방법
    LOCK: Key Lock, insuion pump 뒷면에 lock이 걸려 있어서 모든 버튼이 안눌려지게 된다.? ... ) 500ml□ I.Vol(ml) inpup volume 주입된 수액의 양ex) 처음에 0으로 시작하여 주입되면서 숫자가 늘어남□ F.Rate(ml/hr) 수액 주입속도ex) 20cc ... COMP: complete. 총 주입예정량이 전부 주입되었을 때? BATT: 배터리 잔량이 30분 이내일때♣기능버튼의 의미♣?
    리포트 | 2페이지 | 3,500원 | 등록일 2024.05.09
  • 임계영역과 임계영역을 해결하기 위한 방법에 대해 작성하세요.
    세 번째 방식인 spin lock 방식과는 다르게 세마포어를 정의한다.동기화 기능을 통해 스레드를 제어하기 위한 도구들은 락, 뮤텍스, 세마포어, 모니터 등이 존재한다. ... 하지만 락의 문제점도 존재한다. ... 그렇기 때문에 critical_section이라는 타입을 정의하여 사용한다.
    리포트 | 3페이지 | 1,500원 | 등록일 2021.11.03
  • 운영체제 ) 임계영역과 임계 영역을 해결하기 위한 방법에 대해 작성하시오
    하나의 기억장치 사이클에서 수행되므로 counter 변수 수정에 발생하는 문제를 해결할 수 있다.② testandset()하나의 명령어로 취급해도 된다. ... Lock을 건 스레드만 lock을 해제할 수 있다. ... Lock을 걸지 않은 스레드도 Signal을 보내 Lock을 해제할 수 있어서 프로세스 1이 임계 영역 진입 전에 Lock을 true로 놓고 진입하면 이후에 도착하는 프로세스 2는
    리포트 | 7페이지 | 5,000원 | 등록일 2024.07.29
  • 서강대학교 21년도 디지털논리회로실험 9주차 결과레포트 (A+자료) - ROM, RAM, Dot matrix, key matrix
    이렇게 분주된 주파수를 갖는 신호가 CB4CE의 clock 신호가 되어서, scan의 frequency가 31kHz가 될 것이다.만약 CB4CE에 공급되는 clock의 주파수를 이보다 ... 그렇다면 CB4CE에 공급되는 clock의 주파수를 2배 늘린 셈 된다. ... 이는 입력되는 clock의 frequency가 8MHz로, scan의 주기가 너무 빨라서 일어나는 현상으로 추측된다.
    리포트 | 35페이지 | 3,000원 | 등록일 2022.09.18
  • 3-1. AMOLED Tooling 및 진공 기초 report (A0)
    (Low vacuum을 잡지 않고 메인 chamber와 Load Lock Chamber 사이의 통로 밸브를 열게 되면 Main pump(cryo pump)에 손상이 간다.Ⅴ. ... Loading control을 통해 글라스 홀더를 Load Lock Chamber에서 Organic Chamber 로 이동시킨다. ... 때문에 Load Lock Chamber 안을 Low vacuum 으로 먼저 잡아준다.
    리포트 | 8페이지 | 10,000원 | 등록일 2023.07.30 | 수정일 2023.08.18
  • 서강대학교 마이크로프로세서 9주차 실험
    외부 신호(ETR)와 프로세서 내부 clock(Internal clock) 중에 어떤 clock을 쓸지 선택할 수 있다. ... .● 타이머의 clock에 스위치 신호를 연결하여 counter로 사용하는 방법을 이해한다.3. ... 선택된 clock 신호는 PCS에 의해 주파수가 분주된 후 CNT로 연결된다. CNT에서는 up/down counting을 선택할 수 있다.
    리포트 | 27페이지 | 3,000원 | 등록일 2021.06.30 | 수정일 2022.04.14
  • 운영체제 - 임계영역과 임계영역을 해결하기 위한 방법에 대해 작성하시오.
    모니터는 임계영역에 대한 접근을 제한하는 내부 잠금(lock) 메커니즘과 조건 변수(condition variable)를 함께 사용하여 동시성 제어를 수행합니다. ... 뮤텍스는 임계영역에 진입하기 전에 락을 획득하고, 빠져나올 때 락을 해제합니다. 뮤텍스를 획득하지 못한 프로세스 또는 스레드는 대기 상태에 머무르게 됩니다. ... 스핀락은 임계영역에 대한 대기 시간이 짧고, 문맥 교환(context switching)을 최소화할 수 있는 경우에 유용합니다.
    리포트 | 3페이지 | 2,000원 | 등록일 2023.08.21
  • 11. 카운터 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    입력으로 들어가는 비동기 카운터와 입력 clock 신호가 모든 Flip Flop 의 clock 입력 신호로 들어가는 동기 카운터로 구분된다. ... (동기 카운터의 경우, Function generator 를 사용할 예정이므로 버튼 스위치는 필요 없음)동기 카운터의 경우 모든 74HC73 chip 의 CLK 단자에 동시에 clock ... 실습을 위한 이론적 배경:실험에서 사용하는 74HC73 칩은 dual JK Flip Flop 으로 이루어져 있으며 CLK 단자 앞의 inverter 때문에 clock 의 falling
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대