• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(20,866)
  • 리포트(15,678)
  • 방송통신대(3,069)
  • 시험자료(921)
  • 자기소개서(409)
  • 이력서(345)
  • ppt테마(193)
  • 서식(116)
  • 논문(70)
  • 표지/속지(62)
  • 노하우(3)

"clock" 검색결과 161-180 / 20,866건

  • 221_화사한 봄 나무 인포그래피 피피티 템플릿 예쁜 피피티디자인 자연 환경 PPT 템플릿 디자인 양식__피피티몰_페이북 글꼴
    at four o'clock in the afternoon, then by three o'clock I shall begin to be happy. ... four o'clock in the afternoon, then by three o'clock I shall begin to be happy. ... TITLEPLEASE, ENTER THE TITLE TEXT HERE If you come at four o'clock in the afternoon, then by three o'clock
    ppt테마 | 26페이지 | 5,500원 | 등록일 2023.01.07
  • 항해기기의 시간정보 자동보정에 관한 기술연구
    해양환경안전학회 안영중, 이윤석, 장정호
    논문 | 3페이지 | 3,000원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 서강대학교 23년도 마이크로프로세서응용실험 9주차 Lab09 결과레포트 (A+자료)
    clock mode 2를 enable로 하여, ETR의 active edge를 clock signal으로 선택한다. ... Timer는 하드웨어 자체는 counter와 동일하고, clock에 의한 counting으로 시간을 측정하는 방식으로 사용된다. figure 9.1에서 보다시피 clock신호로는 외부신호 ... (ETR) 또는 프로세서 내부 clock 중 하나로 선택되고, clock신호는 Prescaler로 분주가 가능하다.Auto-reload 레지스터는 타이머의 초기값을 지정한다.
    리포트 | 38페이지 | 2,000원 | 등록일 2024.03.24
  • 보안 설비
    University Presentation · 1) http://mirror.enha.kr/ 참고 기본구조 모티스 + 손잡이 + 외장 + 콘트롤러 (PCB) 모티스는 잠금장치 대볼트는 문을 LOCK ... grpid 지문인식 도어락 잠금보안의 역사도어락의 원리 http://ask.nate.com/qna/view.html?n=6157809. ... 근거리 무선통신 NFC (Near Field Communication) 지문인식 도어락 잠금보안의 역사휴대폰 도어락 http://ask.nate.com/qna/view.html?
    리포트 | 24페이지 | 2,000원 | 등록일 2022.12.18 | 수정일 2023.01.05
  • Tibia IM nail
    cap0mm, 5mm, 10mm, 15mm* locking screws and instruments * ... 5.0m(green)Insert cancellous bone locking screwDrill Bit ? ... 4.2 mm(Drill Bit: green marking)Depth GaugeInsert locking screwLocking screw 4.0mm(blue)Locking screw
    리포트 | 7페이지 | 2,500원 | 등록일 2023.06.06
  • (영어작문) 남산타워 - 외국인 친구에게 서울의 남산타워 소개하기
    Couples often come here to attach a lock to one of the observation deck's fences, hang a lock symbolizing ... These symbols are now so popular that they now have thousands of lock-covered fences and handrails from ... 자물쇠로도 유명하다.Couples often come here to attach a lock to one of the observation deck's fences, hang a
    리포트 | 3페이지 | 1,000원 | 등록일 2023.02.19
  • 임계영역과 임계영역을 해결하기 위한 방법에 대해 작성하시오
    임계영역은 영어로 ‘critical section’이라고 하는데 여기서 critical은 앞으로의 상황에 영향을 미친다는 의미로 굉장히 중요하다는 것을 뜻한다. ... =false; 공유변수while(lock==true); while(lock==true);임계영역임계영역lock=true; lock=true;lock=false; lock=false; ... =false; 공유변수boolean lock2=false;lock1=true; lock2=true;임계영역임계영역while(lock2==true); while(lock1==true)
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.29
  • 서강대학교 디지털논리회로실험 8주차 - Shift Registers
    실험 이론● Shift registersShift registers는 개별적인 flip-flop들의 연결을 통해 구성되고 clock 신호의 입력에 따라 여러 FF로 구성된 register의 ... data가 shift 되도록 설계된 소자다. clock의 한 주기 당 하나의 FF만큼 data를 shift하므로 1bit씩 shift 된다고 볼 수 있다.이러한 shift register는 ... 혹은 병렬로 하는지에 따라 4가지로 나눌 수 있는데, 우선 입출력을 모두 직렬로 연결한 Serial-in, serial-out shift register는 입력하고자 하는 신호를 clock
    리포트 | 24페이지 | 1,500원 | 등록일 2024.08.17
  • 프로바이오틱스 그람염색 리포트
    C. Gram(1884)이 창안하였는데, 세균을 크게 2군으로 분류할 수 있다는 점에서 오래 전부터 사용되어 왔다. ... 염기성 염료로 염색한 후 에탄올을 처리하면 탈색이 일어나고 사프라닌과 같이 붉은색의 염료로 대비 염색을 하면 붉은색으로 관찰된다.대표적 그람음성균으로는 대장균(Escherichia coli ... , 락토바실러스루테리, 락토바실러스헬베티쿠스, 락토바실러스페맨텀, 락토바실러스살리바리우스, 락토바실러스파라카제이, 락토바실러스가세리, 엔테로코쿠스페칼리스, 비피도박테리움롱검, 비피도박테리움브레브
    리포트 | 8페이지 | 1,000원 | 등록일 2024.03.13
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 11차 예비보고서
    더하여 예상한대로 clock 주파수 f에 대해 Q1 주파수 = f, Q2 주파수 = f, Q3 주파수 = f, Q4 주파수 = f이 되어 분주회로로 활용할 수 있고, clock 신호의 ... Q1은 clock에 해당하는 구형파의 주파수인 1 MHz의 1/2배가 되었고, Q2는 1/4배가 되었다. ... 결과, 동기 카운터의 경우 모든 74HC73 chip의 CLK 단자에 동시에 clock 신호를 인가하므로 비동기 카운터와 비교했을 때 delay 문제가 발생하지 않음을 확인하였다.이에
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • [A+보고서] 회로실험 쉬프터 레지스터 결과보고서
    그리고 다시 A에 high를 인가 시키면 1 clock에 A에 불이 들어오고 clock이 1~8까지 증가할 때마다 B~H에 불이 하나씩 들어오게 된다. ... 그렇게 되면 clock이 9가 되었을 때 A의 불이 꺼진다. clock 9에서 16까지 증가하면 A부터 H까지 불이 하나씩 꺼지는 결과 값을 볼 수 있었다.실험 (5)에 사용된 74164는 ... 클럭이 상승할 때마다 데이터의 출력값이 변하게 되는데, 이때의 A, B, C, D의 출력값을 살펴보면 한 clock씩 뒤로 밀리는 즉 하나의 0의 상태가 하나씩 밀려서 출력되는 것을
    리포트 | 4페이지 | 1,000원 | 등록일 2022.12.22 | 수정일 2024.07.21
  • LG이노텍 R&D(연구개발)직 합격 자기소개서
    설계과정에서 monte-simulation및 다른 corner와 온도에서 고려하는 법을 알게 되었습니다. 50%로 Locking이 되는 과정에서 up-down pattern으로 lock ... 집적회로 연구실에서 회로설계 관련 지식을 쌓았고 이에 적합한 CTO분야에 지원하였습니다.학부시절, 제어와 통신분야를 주로 수강하며 미래기술력을 구현해 보기 위해 스마트 도어락시스템을 ... 처음에는 TCON에 들어가는 Duty cycle corrector를 설계하였습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.11.16
  • 서강대학교 디지털논리회로실험 7주차 결과보고서
    이를 해결한 counter가 동기 counter이다.Synchronous counter(동기 counter)는 flip flop의 clock 신호를 공유하는 counter이며clock들이 ... (비동기 counter)란 counter를 구성하는 flip flop의 clock 신호를 공유하지 않는 counter이며, 그림7.2에서 Q0의 변화는 다음 flip flop의 clock으로 ... 배경이론 및 실험방법Counter는 clock에 의해 단일 cycle을 반복적으로 수행하는 순차 논리회로이다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 아주대학교 정보통신대학원 운영체제 과제 (기말고사)
    데드락상태가 아닌 프로세스가 종료 될 수 있기 때문에 preemption cost model이 필요하다.* 데드락이 발생하지 않은 프로세스를 원래상태로 되돌리기 위해 Rollback을 ... buffer cache)저장된다. ... "synch" 명령어(buffer cache
    리포트 | 4페이지 | 3,000원 | 등록일 2023.01.30 | 수정일 2023.02.21
  • 교류및전자회로실험 실험3_순차 논리 회로 기초 결과보고서
    , INPUT);pinMode(sw, INPUT);}void loop(){int clk= digitalRead(clock);int D = digitalRead(sw);int po, ... = digitalRead(clock); // 읽은 클록신호를 clk 변수에 저장int D = digitalRead(sw); // 읽은 스위치신호를 D 변수에 저장int po; // ... (clock); // 핀번호의 입출력 상태를 저장할 변수를 설정int D = digitalRead(sw);int po, temp;if(clk == HIGH) // 클록이 1인동안{if
    리포트 | 9페이지 | 1,000원 | 등록일 2024.08.17
  • 경북대학교 운영체제 기말고사 족보
    Dead lock, lock– race condition이 발생하는조건 : 공유하고 있는 data에 동시에 접근할 때 발생- critical section이 뭔지Test and Set ... 통해서 스레드가 필요한 모든 lock을 한꺼번에 획득한다.No preemption -> trylock()을 통해 하나의 lock를 갖고있고 다른 lock를 요청했을때7. ... start miss, capacity miss, conflict miss- Replacement policy- AMAT 구하기- DeadLock 발생조건 및 해결방법- 여러 종류의
    시험자료 | 2페이지 | 2,000원 | 등록일 2024.01.04
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    그렇게 4번의 clock이 지난 후에, serial output에 도달하게 되었다. ... 따라서 serial in/out, parallel in/out의 기능을 모두 포함한다고 볼 수 있다. clock과 clear는 4개의맞추어 동작을 확인해보자. ... 이 때 serial 입력은 shift register의 수만큼 clock이 지나면, serial-out으로 나오게 된다.
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 중앙대학교 ASIC 미니프로젝트
    clock; //clock signalinput reset; //reset inputinput sequence_in; //binary inputoutput reg detector_out ... always@(posedge clock, posedge reset)beginif(reset == 1)current_state ... [Falling_Edge_Detector.v]module Falling_Edge_Detector(sequence_in,clock,reset,detector_out);input
    리포트 | 13페이지 | 5,000원 | 등록일 2022.01.21 | 수정일 2022.05.03
  • [자료구조]선택정렬의 시간측정에 대하여
    따라서 clock tick이 잡히도록 clock()-start ... 문제풀이 ]1) 들어가기전2) 1-242-1) 1-24 clock_t2-2) 차이점에 대해서2-3) 1-24 time_t3) 1-253-1) 1-25 clock_t3-2) 1-25 ... 문제풀이 ](1-25 clock_t)1-24 와 차이점은 2가지 있었다.첫 번째로는 clock이 tick 하는 시점의 횟수를 파악한다는 것이다.이것을 파악하여 (현재시간 ?
    리포트 | 13페이지 | 1,000원 | 등록일 2021.05.17 | 수정일 2022.11.11
  • 서울시립대 전전설2 Lab-06 예비리포트 (2020 최신)
    SR플립플롭은 SR래치에 clock을 추가한 회로로 clock이 rising할 때(posedge 기준) 작동한다. ... 이런식으로 총 4번 clock이 rising하면 네 비트 모두에 1이 출력된다.동기식 계수기는 clock이 인가될 때마다 값을 증감하는 회로로 주파수 분주기, 타이밍 제어신호 생성 ... 카운터 cnt=0이 된다. resetN이 falling하는 경우가 아니고 clock이 rising할 경우 정상적으로 카운터는 up된다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:32 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대