• 통큰쿠폰이벤트-통합
  • 통합검색(20,809)
  • 리포트(15,624)
  • 방송통신대(3,072)
  • 시험자료(918)
  • 자기소개서(408)
  • 이력서(345)
  • ppt테마(193)
  • 서식(116)
  • 논문(68)
  • 표지/속지(62)
  • 노하우(3)

"clock" 검색결과 241-260 / 20,809건

  • 전공영어 레포트
    .ⓐ chipⓑ PLL(Phase Locked Loop)ⓒ zener diodeⓓ transistorⓔ clock generatorⓕ IC(Integrated Circuit)ⓖ LCD ... 하나는 일반 값 다른 하나는 그 비트의 보수 값을 저장한다.A flip-flop maintains a binary state until directed by a clock pulse ... device which generates singles at fixed intervals, usually to enable synchronization of a system. ( clock
    리포트 | 36페이지 | 3,000원 | 등록일 2021.05.16
  • 부경대 컴퓨터공학 데이터베이스 기말고사(2007~2019)
    데이터를 읽어가며Lock 을 획득하고 commit 되기 이전에는 다른 트랜잭션에 의한 변경 연산을 금지한다.Serializable : Phantom Problem 조차 허용하지 않는 ... 전용 lock을 요구하면 wait해야 함어떤 Tx에 의해 전용 lock이 걸린 객체에 다른 Tx가 어떠한 lock을 요구하면 wait해야 함자신이 이미 전용 lock을 획득한 객체는 ... (O)규칙2: Tx가 소유한 모든 lock은 Tx가 완료될 때 해제됨Lock 요구 및 획득 규칙어떤 Tx에 의해 공용 lock이 걸린 객체에 다른 Tx가 공용 lock을 요구하면 획득되나
    시험자료 | 14페이지 | 5,000원 | 등록일 2021.01.12 | 수정일 2023.06.23
  • 서강대학교 23년도 마이크로프로세서응용실험 10주차 Lab010 결과레포트 (A+자료)
    이들의 차이는 clock line의 유무이다. 비동기 방식은 clock신호가 필요하지 않고, 송신, 수신 data line과 기준 GND만 필요하다. ... 동기 모드에서는 CK pin이 TX와 synchronized되어 clock을 출력한다. ... 전송 속도를 결정하는 소자에는 전송속도의 2의 power 배수가 되도록 clock이 결정된다.Rs-232C는 비동기 직렬 통신의 하드웨어 규정이다.
    리포트 | 36페이지 | 1,000원 | 등록일 2024.03.24 | 수정일 2024.09.02
  • 삽입관의 종류, 간호 정리
    Central venous catheter3. Swan-ganz catheter4. Chemoport5. Mahurkar catheter & Perm catheter6. ... (Pulmonary infarction 예방)⑥ PCWP는 꼭 필요한 경우에만 측정, 측정 후 반드시 공기풍선을 수축(Deballoon)시키고Port locking을 한다.⑦ 폐동맥관 ... 시 외부로 밀려나온 중심정맥관을 안으로 밀어 넣지 않으며, 의사와 상의한다.③ 중심정맥관이 폐색되었을 때는 마지막 주입한 약물의 종류를 확인하고 의사와 상의한다.중심정맥관 잠금(Lock
    리포트 | 8페이지 | 1,500원 | 등록일 2022.12.31 | 수정일 2024.03.11
  • 현대 사회 축제와 이벤트 축제 소개 - 펜타포트 락 페스티벌
    이벤트에 대한 개요 및 소개하려는 이유 2019 펜타포트 락 페스티벌 홍보 영상 ( https://www.youtube.com/watch? ... 페스티벌의 이미지인 활기 , 젊음 , 열정과 협찬사의 이미지도 잘 부합⑦ 프로그램 소개 및 적절성 평가참고자료 펜타포트 락 페스티벌 슬램 ( https://www.youtube.com ... 휴가철 37 도 폭염에 락 페스티벌 가보기 ! 펜타포트 락 페스티벌 후기 . [ 비디오 파일 ]. [ 왓더뮤직 ]. (2019, 08, 22), 락페도 극한직업 ?!
    리포트 | 25페이지 | 1,500원 | 등록일 2021.01.07
  • 경희대 대학영어 Oliver's early life and character 과제 판매합니다.
    As a result, Oliver was locked up in a dark room for a week.And then Mr. Sowerberry takes Oliver. ... Brownlow takes Oliver home.Oliver, who was being treated well, was kidnapped on the street and locked ... But soon, with the testimony of the bookstore owner, he is cleared of the charge, and Mr.
    리포트 | 1페이지 | 1,000원 | 등록일 2022.01.21
  • Pintos Project 2 한국어 설명서 (design report) - User Program, System Call
    따라서 project 1에선 alarm clock, priority scheduling, advanced scheduling 등의 코드들이 모두 kernel의 일부였고 해당 코드들을 ... System call4. ... kernel에서 직접 compile했지만, project 2에선 user program을 이용하여 compile한다. user program을 실행시키기 위하여 구현해야 하는 것은
    리포트 | 34페이지 | 10,000원 | 등록일 2024.06.15
  • 블루투스 활용
    RCC_Configure함수는 reset and clock control configuration이다. ... (RCC_APB2Periph_USART1, ENABLE);/* USART2 clock enable */RCC_APB1PeriphClockCmd(RCC_APB1Periph_USART2 ... , ENABLE);/* Alternate Function IO clock enable */RCC_APB2PeriphClockCmd(RCC_APB2Periph_AFIO, ENABLE)
    리포트 | 14페이지 | 4,000원 | 등록일 2023.01.06
  • 도메인 등록 양식
    *희 망도 메 인kr , com , co.kr , net , orgbiz , or.kr , ne.kr , pe.kr상 호소 유 주한 글영 문소유주 주민등록번호주 소E - mail 주 ... 소연 락 처TELFAX신 청 인(담당자)성 함핸드폰기존 홈페이지있 음 [ ] / 없 음 [ 0 ]도메인등록시비 밀 번 호숫자나 영문으로 4자리 ~ 8자리마케팅2팀담 당 자
    서식 | 1페이지 | 1,000원 | 등록일 2021.06.12
  • 프로바이오틱스
    인체 내에 400여 종이 있으며, 그 숫자(1014cfu/g 이상)는 장내 세균의 30~40%(건조분 기준)를 차지한다. ... 락토바실루스 11종, 비피도 박테리움 4종, 락토코커스 1종, 엔테로코커스 2종, 스트렙토코커스 1종이 있다.1) 락토바실루스 (Lactobacillus)위장 내 소화액과 담즙산에 ... ·락토바실루스 불가리큐스 (Lactobacillus bulgaricus): 우유 발효용으로, 장내에 정착하지 않고 통과한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2023.02.22
  • 서울시립대 전전설2 Lab-07 예비리포트 (2020 최신)
    실습 0에서는 이런 비동기 입력을 clock에 동기화시켜 동기입력으로 변환출력하는 모듈을 만들었다. ... 또한 moore는 출력이 오직 state에 의해서만 결정되므로 출력 역시 clock에 완전히 동기화된다는 특징이 있다. ... 그 순간의 sync값은 한 클럭 전 clock이 falling할 때 sync값으로 in=0이 들어갔었으므로 sync값은 0이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2021.09.10
  • 아날로그및디지털회로설계실습 7주차 위상제어루프
    주파수 동기화 (Phase Locking) 원리를 이해한다.1. ... ``=``` {d PHI _{out}} over {dt} ````=````K _{v} BULLET V _{c} 이므로{f _{o}} over {V _{c}} ````=``K _{v} ... 따라서V _{c} =VDD/2일 때 VCO의 이득은 6kHz/V이다.1-3-6 위상제어루프의 Loop Filter(A) Loop Filter의 cutoff frequency (1/2pi
    리포트 | 9페이지 | 1,000원 | 등록일 2021.12.15
  • [성인간호학 실습 케이스] 신부전 간호사정, 간호과정 + 투석실 소감문
    (학생간호사)5.- 매일 8시간 마다 IV line, H-lock, hemocatheter 적용 부위의 발적, 팽만, 화농성 배액, 통증을 사정함- 매일 1회 IV line, H-lock ... (간호사)- 매일 1회 IV line, H-lock, hemocatheter 적용 부위의 발적, 팽만, 화농성 배액, 통증을 사정한다. ... 이상으로 상승하지 않는다.▶ 10/25 am11:30 부터 37.5이하로 유지됨- 10/28일 까지 관찰결과1) IV line, H-lock, hemocatheter 적용 부위에
    리포트 | 31페이지 | 2,500원 | 등록일 2023.01.26
  • [코드 복사가능, 학점A+] 전전설2 10.Term Project - 예비+결과+발표자료+성적인증 (서울시립대)
    Design a digital clock displayed on LCD in Verilog HDL.2. ... 시간 reset, stop 기능OptionalList of all functions, Alarm & melody, Stopwatch, Timer, World clock, etc… ... 조정 버튼을 누를 때마다 숫자가 up count.4.
    리포트 | 8페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.11.09
  • [LG전자] 1차면접대비 직무역량기술서 PPT 참고자료 (최종합)
    Advanced 자동제어 • 아날로그 신호 ↔ 디지털 신호 처리방식과 용도에 대한 이해 • ADAS 시스템 개발의 기반Project • 블루투스와 스마트폰을 이용한 무선원격제어 도어락 ... 17.06.01~17.06.03) → 센서파트 회로설계와 AVR Gcc 코딩 총괄 ( 개요 ) 알람 비밀번호입력 atmega128 SMS 전송 자이로 센서 초음파 센서 Cable lock ... R D – H/WMajor subject 전자기학 회로이론 전자회로 통신공학 Base - ing • 전기로 동작하는 모든 기계 / 기구를 이해하는 근간 • 수동소자 (R, L, C)
    자기소개서 | 5페이지 | 3,000원 | 등록일 2019.11.15
  • 생명공학 레포트(아미노산 합성)
    IPTG는 알로락토즈와 유사한 분자적 구조를 가지고 있어 락 오페론 시스템에서 락토즈와 같은 역할을 수행한다. ... 락 오페론 시스템의 키포인트는 젖당의 유무에 따른 repressor protein의 작용에 있다. ... -IPTG본 실험에서 유전자의 전사는 pET24ma 플라스미드에 있는 락 오페론 시스템에 의해 조절된다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.12.09
  • 울진비행훈련원 한국항공전문학교 영어면접 자료
    I needed to get on the express bus yesterday to come to Seoul. and I got up at 6 o'clock then I came ... 6 o'clock in this morning. then I came here bytaking the Gyeongui Jungang Line subway. and atually, ... , but fortunately I were able to arrive at here by 8 o'clock.= since I have lived in the province so
    자기소개서 | 8페이지 | 3,000원 | 등록일 2022.02.25
  • 스페인 음악기행
    작은 공간에서 플라멩코의 열정을 눈앞에서 느낄 수 있어 매우 인기가 많은 명소이다.plaza isabel 사크로몬테 동굴→cuestadel chopez 플라멩코 공연티켓가격 5€ 관람료 ... 락 페스티벌인 FIB는 전 세계 락 페스티벌 중 스페인이 가성비가 좋으며 한적해서 음악을 즐기기 좋은 곳으로 락 매니아들에게 알려져 있었으며, 스페인의 본토 음악이라고 할 수 있는 ... FIB 락 페스티벌은 세계의 락 페스티벌과 다르게, 베니카심 지역의 특성을 살려 여유롭고 휴식처의 느낌을 주도록 구성하였다.
    리포트 | 5페이지 | 3,000원 | 등록일 2021.05.04
  • 서강대학교 디지털논리회로실험 8주차 결과보고서
    Shift register를 구성하는 register의 수만큼의 clock이 지나면 SEROUT을 통해 나오게 된다. ... 배경이론 및 실험방법Shift register란 개별적인 flip flop들의 연결에 의해 구성되는 shift register는 clock의 한 주기가 지날 때마다 연결되어 있는 register들의
    리포트 | 14페이지 | 1,000원 | 등록일 2021.10.02
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서9
    이러한 전송지연은 사용할 수 있는 최대 clock 주파수를 제한하게 되며 특정한 상태와 다음 상태에 잠정적으로 중간 상태가 존재할 수 있어 논리상의 에러를 유발하게 된다. ... 이러한 전송지연을 없애기 위해서 모든 F/F들은 같은 clock 펄스에 의해 동시에 트리거 시킬 필요가 있으며 이러한 카운터를 동기식 카운터(synchronous Counter)라 ... 펄스는 입력단의 clock 펄스와 동기 될 수 없으므로 이를 비동기식 카운터(Asynchronous Counter)라고 한다.비동기식 카운터의 F/F 동작은 전단 출력에 의하여 트리거
    리포트 | 6페이지 | 1,000원 | 등록일 2021.10.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:08 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대