• 통큰쿠폰이벤트-통합
  • 통합검색(399)
  • 리포트(397)
  • 시험자료(2)

"library ieee" 검색결과 201-220 / 399건

  • VHDL기초강의
    position조합회로 예제4-bit ripple carry adder Full adder를 이용하여 4-bit ripple carry adder 설계 Block Diagram*LIBRARY ... end if; end process; End behavior;*Ex) 비동기 입력(clear, preset)을 가진 J-K flip/flop 설계 비동기 입력의 우선 순위가 가장 높음library ... -1076이라는 IEEE표준 VHDL탄생 1991년에는 IEEE1076에 추가하여 설계자들로 하여금 VHDL 모델을 공유하는데 도움을 주고 또한 합성 기능의 강화를 위해 9개로 구성된
    리포트 | 106페이지 | 1,000원 | 등록일 2010.05.11
  • VHDL을 통한 자판기 설계
    자판기 [VHDL 언어 구현]library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity zafan
    리포트 | 8페이지 | 2,500원 | 등록일 2009.12.16
  • OrCAD Capure Library 그리기
    두 값은 Capture에서 Part를 호출시에 나타나는 위치를 나타내는 것이므로 각 Part에 맞게 조정해 두는 것이 나중을 위해 편하다.: 선택버튼: Place IEEE Symbol ... 실행 후 Library File이 Library.olb가 만들어진 것이 눈에 보일 것이다. *.olb는 OrCAD Capture용 Library File의 확장자이다.* 참고 *Library라는 ... Library File 만들기Menu에서 File-New-Library를 Click한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2010.06.21
  • vhdl를 이용한 BCD-TO-EXCESS3 코드변환기(조합회로)
    IEEE;use IEEE.std_logic_1164.all;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;use IEEE.numeric_std.all ... 그러나 FPGA보드에 구현시 7-Segment의 경우 1의 값이 입력되었을때 불이 꺼지고, 0의 값이 입력되었을때 불이 꺼지게 되어 소스 코드 과정에서는 보수를 사용하여 표현하였다.Library
    리포트 | 9페이지 | 2,000원 | 등록일 2010.06.11
  • BCD-seven segment
    BCD를 seven segment로 변환하는 회로를 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;entity bcd_7_seg isport
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    ieee ; use ieee.std_logic_1164.all; entity Timer_m_3BT is port( clk_2mhz: in std_logic ; sw_reset : ... ieee ; use ieee.std_logic_1164.all; entity clk_div is port( clock_2mhz : in std_logic ; clock_100hz ... 일까지 : 과제물 제출 12 월 20 일까지 : 최종 제출일스톱워치 reset set strtstop 알람 시간설정 설정 초기화 시작 / 정지 알람설정 ( 블록도 )프로그램 소스 library
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • VHDL을 이용한 LED, LCD 문자출력
    본 론[ Function문을 사용하여 VHDL을 작성하시오. ]< 7Segment LED 실행소스 >[ led_control.vhd ]library ieee;use ieee.std_logic ... _1164.all;use ieee.std_logic_unsigned.all;library lpm;use lpm.lpm_components.all;entity led_control isport ... ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use work.mypack.all;entity lcd_com
    리포트 | 15페이지 | 3,000원 | 등록일 2009.12.03
  • 3×8 Decorder, 8×3Encorder 설계
    1. 3×8 Decorder : Dataflow Modeling① HDL 코드library IEEE;use IEEE.std_logic_1164.all; entity decorder
    리포트 | 6페이지 | 1,000원 | 등록일 2009.12.06
  • Digital clock chip - mode generator
    Sources & Results1) VHDL Source (MODE_GEN.vhd)--librarylibrary IEEE;---- Uncomment the following library ... --library UNISIM;--use UNISIM.VComponents.all;--entity : 입력 출력 port 선언entity MODE_GEN isport ( CLK, SW1
    리포트 | 8페이지 | 1,500원 | 등록일 2011.06.06
  • multiplexer
    6개의 입력 변수를 갖는 4×1, 8×1, 16×1 multiplexer를 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;entity mux
    리포트 | 6페이지 | 2,000원 | 등록일 2009.06.05
  • 자판기 설계 코딩
    ieee;use ieee.std_logic_1164.all;entity japangi isport(clk, reset, c_milk, c_luxury, uja, peanut, coin ... 선택S0resetS0coin투입 100원씩 증가 최대400원까지 투입가능 reset(반환) 초기화 버튼이 존재투입된 금액은 디지털로 표시 LED등은 상태를 점등 및 소등으로 표시library
    리포트 | 4페이지 | 2,500원 | 등록일 2010.03.14
  • 8 bit adder carry look ahead
    부호를 갖는 8 bit adder를 Carry-Look-Ahead 방법으로 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;entity carry_look_adder
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • VHDL 설계-encoder
    소스코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;entity pri_enco_64x6 isport ... 필요한 신호를 정의하기 위해 IEEE 라이브러리의 std_logic_1164를 사용하였고, 시뮬레이션하기 위한 코드이므로 entity의 내용은 없다.
    리포트 | 15페이지 | 2,000원 | 등록일 2012.07.12
  • 4bit carry look ahead adder
    IEEE;use IEEE.STD_LOGIC_1164.ALL;entity CLA_4bit isPort ( a : in std_logic_vector(3 downto 0);b : in ... 같이 된다.4bit CLA이므로, 0bit부터 3bit까지의 각 비트 마다의 방정식은, -0비트에서, -1비트에서 -2비트에서-3비트에서 [2] VHDL 코딩 및 테스트벤치 코딩library
    리포트 | 6페이지 | 1,500원 | 등록일 2010.06.11
  • vhdl과 fpga kit을 이용한 디지털 계산기 설계
    IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity ... Sources & Results - FPGA킷과 연계* pin할당 캡쳐화면* 다른 부분은 집에서 해보니 cable check 오류메시지가 떠서 캡쳐 하지 못하였습니다.library
    리포트 | 10페이지 | 3,000원 | 등록일 2010.01.16
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    ieee; -- library 선언 use ieee.std_logic_1164.all; -- ieee library 선언 use ieee.std_logic_unsigned.all; ... then -- 시간설정모드 표시 hour_out = ts_hour; min_out = ts_min; sec_out = 00 ; end if; end process;모드별 출력 부분library ... alarm_led = '0'; end if; else alarm_led = '0'; end if; end process; end sample; 알람 ON/OFF LED알람 설정부분library
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • 디지털시계
    분단위와 시단위 각각 2자리 추가Stop_watch소스library ieee;use ieee.std_logic_1164.all;entity st_watch isport (clk :
    리포트 | 8페이지 | 1,000원 | 등록일 2009.12.27
  • VHDL을 이용한 Elevator system 설계
    VHDL 소스library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;Entity 구문, VHDL에서 사용될
    리포트 | 26페이지 | 3,000원 | 등록일 2010.10.16
  • 논리회로설계실험 프로젝트_digital door rock
    HDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all
    리포트 | 44페이지 | 4,000원 | 등록일 2012.03.20
  • 전기전자기초실험 Chapter 8 Combination Logic Circuit DesignPre-report
    ieee;use ieee.std_logic_1164.all;entity display isport ( sw: in std_logic_vector(3 downto 0);y_out: ... default : seg = 7'b0000000;endcaseendendmodule************************style 2************************library
    리포트 | 6페이지 | 1,000원 | 등록일 2011.12.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:06 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대