• 통큰쿠폰이벤트-통합
  • 통합검색(772)
  • 리포트(744)
  • 시험자료(18)
  • 자기소개서(6)
  • 방송통신대(4)

"4bit adder" 검색결과 241-260 / 772건

  • 서울시립대 전전설2 Lab-01 예비리포트 (2020 최신)
    LED 저항 계산 공식은 아래와 같다.따라서 필요한 저항 = (5V – 2V) / 0.01A = 300Ω 이다.- 1-bit 반가산기(half adder)와 전가산기(full adder ... TTL 출력의 경우 0~0.4V가 LOGIC 0에 해당하고 0.4~2.7V가 Indeterminate region, 2.7~5V가 LOGIC 1에 해당한다.TTL에서 전류의 경우 2.7V ... (high)가 인가됐다고 가정했을 때 최대값으로 20uA가 입력단으로 흘러들어간다. 0.4V(low)가 인가됐다고 가정했을 때 360uA가 입력단으로부터 흘러나온다.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.10
  • 전전설2 실험1 결과보고서
    LED 동작 전압)/LED전류 = 3/0.01 = 300Ω[2-4] 1-bit 반가산기와 전가산기에 대하여 논리 회로도 및 동작을 조사하시오.두 개의 입력 비트(A, B)를 더하여 ... 인지하지 못해 FULL ADDER를 구현할 때 트렌지스터를 5개를 써야한다고 생각해서 5개를 연결하려고 하니, 브레드보드의 공간이 부족했다.브레드보드는 가로로 5줄이 연결되어 있기에 ... 배경 이론 및 사전조사[2-1] TTL과 CMOS의 입력 및 출력 전압 전류에 대하여 조사하시오.TTL :입력 전압출력 전압입력 전류출력 전류High22.720μA-0.4mALow0.80.4
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 워드프로세서 필기(3과목) 정리
    · 64가지 문자 표현· 8421 코드라고도 함⑵ ASCII 코드· 7비트· 128가지 문자 표현· 자료 처리나 통신 시스템에 사용⑶ EBCDIC 코드· 8비트· 256가지 문자 표현 ... Register)· 메모리 주소 레지서트의 내용을 기억⑷ 연산 장치① 누산기(AC : Accumulator)· 산술 연산 및 논리 연산의 결과를 일시적으로 기억하는 레지스터② 가산기(Adder ... 적음· 연산 속도가 빠르므로 부동 소수점 형식보다 연산 시간은 짧음· 부호와 절대치 방식, 부호와 1의 보수 방식, 부호와 2의 보수 방식이 있음2) 문자의 표현⑴ BCD 코드· 6비트
    시험자료 | 37페이지 | 2,000원 | 등록일 2024.07.22
  • 서울시립대학교 전전설2 1주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    불가능하다. 1-bit half adder HYPERLINK \l "주석6"[6](6) 전가산기반가산기의 형태에서 입력이 한 개 더 추가된 형태이다.이 모델은 간단하게 3개의 반가산기 ... LED 데이터 시트(5) 반가산기AND와 XOR을 활용해 1비트 가산이 가능한 형태이다.하지만, 이 반가산기 모델은 올림(전가산기의 Cin 부분)의 연산이 불가능해서 대수의 연산이 ... : 전원 전압(3) Fan-out HYPERLINK \l "주석4"[4]1개의 출력 신호에 접속할 수 있는 입력신호의 수에는 제한이 있다.
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 정보처리 산업기사 필기 족보
    가상 주소를 사용하는데, 페이지의 크기가 1K 워드이고1워드가 4바이트라면 주기억장치의 페이지 수는? ... 1로 설정하기 위해서 사용되는 논리게이트XOR 게이트전가산기(Full Adder)의 합(Sum)의 출력을 얻는 논리회로채널(Channel)입출력장치와 CPU 사이에 존재하는 속도의 ... 1로, 1은0으로 바꿔 1의 보수를 취한다.위 결과에 1을 더하면 2의 보수가 된다.AND 연산비수치 데이터에서 마스크를 이용하여 불필요한 부분을 제거하기 위한 연산OR 게이트특정 비트
    시험자료 | 5페이지 | 5,000원 | 등록일 2020.12.01
  • 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    *Full Adder module*Full Adder 8bit moduleFull-Adder module을 8개 붙여놓은 module이다.(4) SimulationA에 3을 인가하고 ... (16+16)} `=`~4 billion rows-32-bit adder : ...⑵ Big truth table with numerous 1s/0s yields big logic-Plot ... Adders→ Adds two N-bit binary numbers-2-bit adder: adds two 2-bit numbers, outputs 3-bit result-e.g.,
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • [VerilogHDL] 4bit 2진 덧셈기 설계(7segment 제어)
    한편 dotmatrix블록에서는 "김정수"라는 문자열이 우에서 좌로 이동하면서 디스플레이된다.■ 회로구현▪ 2개의 4비트 2진 코드를 BCD코드로 변환하고 더하는 블록(adder4_ ... bcd)설계▪ adder4_bcd 블록의 논리회로 표현module adder4_bcd(dataa, datab, bcd1a, bcd1b, bcd1s,bcd10a, bcd10b, bcd10s ... ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 F까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.02
  • 디지털논리회로실험(Verilog HDL) - Adders
    실험목적: 4-bit Ripple Carrry Adder를 구현한다.? ... we would by hand⑵ Called a carry-ripple aadder-4-bit adder shown: Adds two 4-bit numbers, generates 5 ... adders5) Carry-Ripple Adder’s Behavior6) Cascading Adders2.1 part3 : 4-bit Ripple Carry Adder?
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.29
  • 컴퓨터활용능력 컴퓨터일반 2022 개정
    번지를 상대적으로 계산할 때 사용하는 레지스터베이스 레지스터(Base Register) : 유효 번지를 절대적으로 계산할 때 사용하는 레지스터레지스터(Register)레지스터는 비트 ... 설치적정온도 : 18도 ~ 24도적정 습도 50~60%조도 300LUX 이상표시화면 밝기 500LUX 정도UPS : 정전대비40~50분 사용하고 10분 휴식하기하루 작업 시간은 4~ ... 연산장치는 명령어를 실행하기 위한 마이크로 연산을 수행하는 장치로, 연산에 필요한 자료를 입력받아 산술, 논리, 관계, 이동(Shift), 연산 등 다양한 실제적 연산을 수행한다.가산기(Adder
    시험자료 | 39페이지 | 3,000원 | 등록일 2022.01.31
  • [검증된 코드 & 복사가능, 학점A+] 전전설2 2.Schematics - 예비+결과+성적인증 (서울시립대)
    4-input LUT ×2=60 ×2 =120 NANDs∴ CLB = SLICE ×4=120×4=480 NANDs∴ FPGA = CLB ×480=480×480=▁230,400 NANDs ... .∴ 16-to-1 MUX = 2-to-1 MUX ×15=4×15 =60 NANDs∴ 4-input LUT = 16-to-1 MUX ×1=60 ×1 =60 NANDs∴ SLICE =
    리포트 | 14페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.07.13
  • [기초전자회로실험1] "Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 통한 검증" 예비보고서
    4-bit Adder의 설계 방법을 익힌다.③ 4-bit Adder를 Verilog HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 방법을 익힌다회로부품Field Programmable ... 하위의 자리올림수 출력을 상위의 자리올림수 입력에 연결한다.4bit full adder4bit adder를 이용해 감산을 할 수 도 있는데 빼려는 수를y _{3} y _{2} y _ ... 오른쪽 비트에서부터 왼쪽 비트 순으로 연산한다.② 관계 연산자는 두 수식과의 관계를 나타내는 연산자이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.19 | 수정일 2019.04.01
  • [VerilogHDL] 4bit 10진 덧셈기 설계(7segment 제어)
    ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 9까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 ... 때의 결과를 Simulation을 이용하여 확인한다. ...module kit_4adder_vfd(clk, key, Dot_com, Dot_data, rs, rw, e, vfd_data ... bcd10s;wire bcd100s;wire k_clk;...■ ModelSim-Altera를 이용한 타이밍 시뮬레이션`timescale 1ns / 1nsmodule tb_kit_4adder_vfd
    리포트 | 1페이지 | 1,500원 | 등록일 2015.08.02
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습 [예비레포트]
    또한 1-bit Full Adder를 이용하여 4-bits Ripple Carry Full Adder를 설계한다.나. ... 진리표그림 SEQ 그림 \* ARABIC 13 1-bit Full Adder 진리표4-bits Ripple Carry Full Adder그림 SEQ 그림 \* ARABIC 14 4 ... 26 1-bit Full Adder simulation 결과(3) Procedure of the Lab 3.위에서 설계한 1-bit Full Adder를 이용하여 4-bits Ripple
    리포트 | 27페이지 | 1,000원 | 등록일 2017.10.19
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Post
    bit Full Adder Subtractor 설계4-bit Full Adder Subtractor Simulation ResultInput C_in이 0일 경우 4-bit Full ... HDL을 이용하여 4-bit Full Adder Subtractor, 1-bit Comparator, 4-bit Comparator, 4-bit Comparator를 이용한 연산 ... Adder로, Input C_in이 0일 경우 4-bit Full Subtractor로 작동한다.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대 전자전기컴퓨터설계실험2 제04주 Lab03 Post
    비교 결과, 1-bit Full-Adder가 정상 작동함을 확인할 수 있었다.4-bit Full-Adder ProgrammingTruth Table과의 비교 결과, 4-bit Full-Adder가 ... 나열하여 적어주었다.Pin Assignment of 4-bit Full Adder4-bit Full Adder의 pin은 bus switch 1, 2, 3, 4, 5, 6, 7, ... 정상 작동함을 확인할 수 있었다.ConclusionVerilog HDL을 이용하여 AND Gate, NAND Gate, 1-bit Full-Adder, 4-bit Full Adder
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • verilog가감산기(adder-subtracter) 코드및 결과레포트(modelsim)
    Spec : 4Bit Full adder, 4Bit Full subtractor Verilog Coding Simulation 결과는 1,0으로 표시 ... 통일 2000ps만큼 바뀜 Verilog Tool 은 Model Sim 사용 Selection Signal 하나를 추가하여 ‘1’ 일 경우 adder ... 동작, ‘0’일 경우 subtractor 동작 구현 Enable Signal 하나를 추가하여 ‘1’ 일 경우 adder or sub 동작 , ‘0’ 일 경우 stop
    리포트 | 4,500원 | 등록일 2019.08.24 | 수정일 2019.09.23
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Pre
    다만, 최상위 비트가 4-bit보다 큰 경우 C_out은 1이 된다. 따라서 4-bit Full Adder가 잘 작동함을 확인할 수 있다. ... Bench Code4-bit Full Adder Subtractor Simulation ResultC_in이 0인 경우, 4-bit Full Adder로 작용하며, C_in이 1인 ... [실험 3] 4-bit Full Adder 설계Add SourceSource Code모듈을 지정해주고, 총 4개의 A, B Input과 Sum을 묶어 4-bit Variable로 지정한다
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 디시설 - 4비트 가산감산기 , BCD 가산기
    는 입력 값 형식으로 0~9를 표현하기 위해서는 4비트가 필요하고 4비트로는 0~(2^{ 4}-1)의 수를 표현 할 수 있기 때문에 0~2**adder_width ? ... - 코드 주요 내용 및 동작 부분 해석package 선언 : 4~11행에서 adder_width 는 정수 4, result_width 도 정수 4 subtype 의 adder_value ... 결과 보고서( 4비트 가산/감산기 , BCD 가산기 )제목4비트 가산/감산기 , BCD 가산기실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 전기전자기초실험 Arithmetic Circuit Design 결과레포트 (영어)
    T/F table of 4-bit adder/subtracterTime analyzer of 4-bit adder/subtracter3 + 4 = 7 2 - 3 = -1 -4 + 2 ... bits of digit i respectively,② Find the maximum delay route of 4-bit adder/subtracter, then calculate ... Arithmetic Circuit DesignReportDepartmentYearStudent IDClassTeamName전기전자공학부전기전자공학부221. 4-bit adder/subtracterThe
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.01
  • verilog code - (combo kit) 4bit 2진 덧셈기를 7-segment로 출력
    또한 키를 두 번 입력받기 때문에, 그 키를 시간을 두고 adder4_bcd에 전달 할 수 있도록 한다.ⅱ) adder4_bcd: keyin 모듈에서 4bit로 표현된 두 수를 받아 ... 덧셈기 역할 부분ⅰ) keyin: comboⅠ 키트의 keypad(0~F)를 받아들이고, 받아들인 키를 4bit로 바꾸어 4bit adder가 계산할 수 있도록 도와준다. ... kit_4adder_7seg▶ kit_4adder_7seg 의 최종 작동1.
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:25 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대