• 통큰쿠폰이벤트-통합
  • 통합검색(4,198)
  • 리포트(3,682)
  • 자기소개서(336)
  • 시험자료(102)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리회로 설계" 검색결과 281-300 / 4,198건

  • 비교기 반감산기 전감산기 설계(쿼터스,논리회로)
    목 적 ▶ 논리게이트를 이용하여 반감산기, 전감산기의 진리표로부터, 논리식, 논리회로 설계방법 등을 이해한다.3. ... 하여 진리표를 만들어라. ▶ 진리표를 간단화 시키고, 사용할 AND, OR, NOT, Exclusive OR게이트를 선정한다. ▶ 구현한 논리식으로 논리회로설계한다.성능Y논리게이트로 ... 선정 사용하여 반감산기의 논리회로설계하라. ▶ 피감수를 , 감수를 , 자리내림수를 ,라 하고 이들을 입력으로 하여 감산결과인 차와 아랫자리로의 자리내림 을 출력으로
    리포트 | 7페이지 | 1,000원 | 등록일 2010.06.22 | 수정일 2015.12.26
  • [논리회로] 조합논리회로 설계 교안
    조합 논리 회로 설계1 조합 논리 회로설계 순서2 간소화된 함수를 사용한 조합 논리 회로의 구성3 조합 논리 회로 설계 응용학 습 목 표1. ... (95P)(주위 환기 과정에서 이야기한 내용과 연결하여 설명)조합 논리 회로 설계에 전체적인 순서 설명(P95~96)P96 개요도 설명설명을 듣고 대답한다.OHP 자료{단 계학 습 ... 질문을 통한 환기지적 당한 학생은 대답한다.본시학습소 개조합 논리 회로의 정의조합 논리 회로란 무엇인가?
    리포트 | 3페이지 | 1,000원 | 등록일 2003.04.20
  • [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    그 친구의 논리도 들어보면 맞지만 내가 생각해낸 논리역시 정확하다고 믿었기에 그대로 밀고 나갔다. ... 개인별 설계 프로젝트?최종 보고서?< DASH WATCH >목 차0. ... 디지털 시스템 설계를 위한 VHDL 기본과활용. - 박세현, 그린.1998? 디지털공학 및 실습.
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • [디지털 논리회로 설계]부울대수(boolean algebra)및 조합논리회로 설계
    부울대수(boolean algebra)및 조합논리회로 설계부울대수(boolean algebra)의 개념- 부울대수는 논리회로를 수학적으로 해석하기 위해 영국의 수학자 George Boole이 ... 그러므로 Fig. 2-27과 같은 OR-AND 논리회로를 그릴 수 있다.그러나 TTL 칩에는 3 input OR 게이트를 가지는 칩이 없으므로 Fig. 13-1은 실제적인 설계라고 ... 논리회로로 구성되고, 이러한 이진 논리회로는 부울대수식으로 관계를 표현하기 때문에 회로의 동작 원리를 나타내는 부울대수에 관한 이해가 있어야 함- 일반적인 수학의 대수(algebra
    리포트 | 15페이지 | 1,000원 | 등록일 2006.05.12
  • [논리회로설계실험]논리회로설계실험 제 10장 Multiplexer와 Demultiplexer
    [그림 10-2] 1×2 디멀티플렉서⒜ 블록도InputOutputAS0000101001001101⒝ 진리표⒞ 논리회로3. 사용 기자재 및 부품? ... [그림 10-1] 2×1 멀티플렉서⒜ 블록도⒝ 진리표InputOutputABCY00*************10010011110101111⒞논리회로2.1 디멀티플렉서(Demultiplexer ... 에 의해 여러 개의 출력 중에서 하나를 선택하여 전송되므로 데이터 분배기(Data Distributer)라고도 한다.디멀티플렉서의 예로써 1×2 디멀티플렉서의 블록도, 진리표 및 논리회로
    리포트 | 5페이지 | 2,000원 | 등록일 2005.04.09
  • [논리회로]디지털회로 설계의 기초 1장 연습문제
    연습문제다섯 비트 2의 보수 표현법으로 나타낼 수 있는 모든 정수를 이에 상응하는 2진수와 함께 표현하시오.2진수는 부호과 크기법으로 표기함2의 보수 표현법 10000은 -32의 값으로 5비트 2진수로는 표현 불가능2의 보수 표현법2진수2의 보수 표현법2진수0000**..
    리포트 | 9페이지 | 1,000원 | 등록일 2006.05.20
  • [논리회로설계실험]논리회로설계실험 제 9장 Encoder와 Decoder
    [그림 9-4] 10진 to BCD Decoder 논리회로3. 사용 기자재 및 부품?논리실험기 (Digital Logic Lab. ... 인코더의 예로써 10진수를 BCD Code로 변환해주는 10진/BCD 인코더의 불록도, 진리표는 [그림 9-1]과 같고, 논리회로는 [그림 9-2]와 같다. ... (b) 진리표디코더의 예로써 4 bit의 BCD Code를 입력으로 받아들여 10진수로 해독한 후 출력하는 BCD to 10진 디코더의 블록도, 진리표는 [그림 9-3]과 같고, 논리회로
    리포트 | 8페이지 | 2,000원 | 등록일 2005.04.09
  • 논리회로실험 - 제 10장 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계 결과보고서
    IntroductionVHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이다 ... 이번 실험은 VHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이었다 ... . 7-segment에 대해서 이해해보았고, 이를 출력으로 이용하여 디지털 시계를 설계해보았다.
    리포트 | 32페이지 | 1,000원 | 등록일 2014.08.15
  • [논리회로]디지털회로 설계의 기초 2장 연습문제
    (A+D')) = (B+(C'+(A+D')')')''변환하시오.2.7 2비트 데이터에 1비트를 MSB에 추가하여 홀수 패리티(odd parity)를 발생시키는 회로설계하려고 한다.A ... NOT, AND, OR 게이트가 다음과 같은 스위칭 회로로 표현될 때, NAND, NOR, XOR 및 XNOR 게이트를 스위칭 회로로 표현하시오.2.3 그림 P2.1과 같은 논리회로가 ... 또, 이 논리함수를 NAND 게이트만을 가지고 구현하시오.2.11 부울 대수의 기본 논리인 NOT, AND, OR 논리 게이트는 SSI 회로로 만들어져 시중에서 구할 수 있다.
    리포트 | 12페이지 | 1,000원 | 등록일 2006.05.20
  • 논리회로 실험RAM, ROM, FSM설계(결과보고서)
    이러한활동들은 논리적인 상태들의 진행으로 기술되는 호출 과정을가진다. ... 실험제목: RAM, ROM, FSM설계(결과보고서)1. 예비조사 및 실험 내용의 이해1.1 ROMRead Only Memory의 약칭이다. 컴퓨터의 판독전용 기억장치를말한다. ... 기록과 해독의 두 회로가있어서 정보의 기록, 해독이 가능하고 컴퓨터나 주변 단말기기의기억장치에 널리 쓰인다.
    리포트 | 7페이지 | 1,000원 | 등록일 2008.01.14
  • [회로실험] 논리게이트를 이용한 카운터 설계
    State table을 이용해서 k-map을 그리고 그것을 토대로 회로설계하면 Aarbitrary Sequence Counter를 만들 수 있다. ... & Methods- 실험 도구 및 재료Multisim- 실험 절차 및 방법 = 1 \* GB3 ① Multisim을 이용하여 arbitrary sequence counter 를 설계한다 ... 즉 Q0’Q1’Q2’Q3’ 임을 알 수 있다.ConclusionFlip flop을 이용해서 카운터를 제작할 수 있다. 4bit를 이용하면 0~15까지 카운트하는 기본적인 카운터를 설계
    리포트 | 10페이지 | 1,000원 | 등록일 2005.06.30
  • [논리회로] 전자키설계결과
    1. CODElibrary ieee;use ieee.std_logic_1164.all;entity key isport( clk, reset, key_en : in std_logic;key : in std_logic_vector ( 3 downto 0 );beep, do..
    리포트 | 4페이지 | 1,000원 | 등록일 2004.06.09
  • [논리회로] 전자키설계예비
    1. CodeLibrary ieee;use ieee.std_logic_1164.all;entity key isport( clk, reset, key_en : in std_logic;key : in std_logic_vector(3 downto 0);beep,door :..
    리포트 | 13페이지 | 1,000원 | 등록일 2004.06.09
  • [예비]디지털 논리회로 설계 기초 - 반덧셈기,전덧셈기
    )B0110Boolean equation :1) 인버터(inverter)라고도 하는 NOT 게이트는 반전 또는 보수화라 불리는 연산을 수행하는 논리회로로 어떤 논리레벨을 반대의 레벨로 ... 변화시킴2) ‘1’을 ‘0’으로 ‘0’을 ‘1’로 변환시킴3) 회로안에서 딜레이를 줄때도 쓰임NAND GATE입력(Input)출력(Output)C0 010 111 011 10Boolean ... 기능을 구성하는 데 필요한 기본 게이트 중의 하나로서 논리적 덧셈연산을 수행2) 입력 중에 하나 이상만 ‘1’이면 출력이 ‘1’이 되는 논리함수NOT GATE입력(Input)출력(Output
    리포트 | 4페이지 | 1,000원 | 등록일 2009.08.20
  • [결과]디지털 논리회로 설계 기초 - 반덧셈기,전덧셈기
    디지털 회로에서는 서로 다른 전압 레벨을 사용해서 논리 ‘0’과 ‘1’을 구분한다. ... 그러나 실제 디지털 회로에서는 잡음 등의 영향으로 정확하게 5V, 0V를 맞추기가 힘들기 때문에 어느 정도 범위를 두고 논리를 판별한다. ... TTL의 논리 전압 판정 레벨은 입력과 출력의 경우가 서로 다르며 74LS 시리즈의 경우 논리 ‘0’은 입력 시 0.8V 이하 출력 시 0.5V 이하이며, 논리 ‘1’은 입력 시 2.0V
    리포트 | 4페이지 | 1,000원 | 등록일 2009.08.20
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 7장 부호변환회로
    [그림 7-1 부호 변환 장치의 개요]모든 부호변환 회로는 조합논리 회로이므로, 조합논리 회로설계하는 다음 절차에 의하여 설계한다.⑴ Block Diagram을 그리고 주어진 조합논리회로의 ... 제 7 장 부호변환 회로? 실험 목적디지털 시스템에 사용되는 각종 부호변환 회로를 직접 설계한 후, 회로로 구현하여 동작 특성을 이해한다.? ... 구현하여, 입력된 Excess-3 Code를 그에 해당하는 BCD Code로 변환시켜주는 부호변환 회로설계할 수 있다.?
    리포트 | 8페이지 | 2,000원 | 등록일 2005.03.30
  • 예비보고서 // 순서논리회로의 해석과 설계, 비동기식 계수기, 동기식계수기
    따라서 카운터는 디지털시스템 설계시에 매우 유용하게 이용되는 소자이다.카운터의 종류일반적인 카운터의 종류는 세가지 요소에 의해서 구분할 수 있다. ... 가장 대표적인 응용회로로써, 수를 헤아릴수 있는 회로이다.즉, 플립플롭의 클럭입력단자에 안가되는 주기적인 신호의 개수를 헤아린다.주기적인 신호의 개수를 헤아린다는 것은 시간을 계산할 ... 비동기식count-up계수기와 count-down 계수기를 구성한다.2)비동기식 up/down계수기를 구성한다3)비동기식 십진 계수기를 구성한다2.실험이론계수기(카운터,counter)카운터는 순서회로
    리포트 | 18페이지 | 1,000원 | 등록일 2010.11.16 | 수정일 2018.09.10
  • [컴퓨터] 컴퓨터 논리회로설계
    목적(1) 반가신기와 전가산기의 설계를 통해 조합논리회로설계방법을 공부한다.(2) 설계회로의 기능측정B. ... 일반적으로 보통의 디지털 논리회로는 처음부터 이진법등으로 부화화하여신호를 발생시키기 때문에 집적회로되어 있는 부호기는 복혹기만큼 많지 않다. ... 취하고, 선 A와 B선택 (selecrionline)으로 취하는 디멀티플렉서이다.1-to-4 디멀티플렉서의 입출력N입력A1A2An-1M츨력그림 6-7은 디멀티플렉서의 진리표 및 논리회로도이다
    리포트 | 30페이지 | 2,000원 | 등록일 2002.05.08
  • [논리회로] 도난 방지기 설계
    설계1) Logic Lab Unit에 GAL22V10 두 개와 세그먼트 1개를 이용하여 설계한다.2) 하나의 GAL에는 입력 값을 쉬프트레지스터 회로와 4bit 카운터 회로, 암호가 ... 설계 및 구현 】1. ... 도난 방지기 설계【 1. 목 적 】차를 도둑이 출발시키거나 몰고 가버리는 것을 저지시키기 위한 비밀 번호를 입력해야만 동작을 하는 도난 방지기를 설계한다.【 2.
    리포트 | 8페이지 | 무료 | 등록일 2003.08.14
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 5장 기본 연산 회로
    진리표, 논리식, 논리회로는 [그림 5-2]와 같다. ... 연산 회로이다.전 가산기의 진리표, 논리식, 논리회로는 [그림 5-4]와 같다. ... 연산 회로이다.반 감산기의 진리표, 논리식, 논리회로는 [그림 5-7]과 같다.
    리포트 | 11페이지 | 2,000원 | 등록일 2005.03.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:28 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대