• 통큰쿠폰이벤트-통합
  • 통합검색(4,198)
  • 리포트(3,682)
  • 자기소개서(336)
  • 시험자료(102)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리회로 설계" 검색결과 361-380 / 4,198건

  • 서강대학교 21년도 디지털논리회로실험 7주차 결과레포트 (A+자료) - Counter, State Machine, State Diagram
    디지털논리회로실험 7주차 실험 보고서목적- Counter의 구조와 동작 원리를 이해한다. ... CountersCounter는 clock에 의해 cycle을 반복하는 순차 논리회로이다. ... Designstate machine은 n개의 flip-flop을 이용해 2n개의 state를 갖게 되며, 이러한 state와 입력에 따라 다음 state, output을 결정하는 순차 논리회로이다
    리포트 | 28페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 결과 보고서
    디지털논리회로실험결과 보고서[8주차]실험 7. Finite State Machines1. ... D 플립플롭의 출력이 입력과 같기 때문에 직관적으로 D 플립플롭을 이용한 설계 과정이 가장 간단하지만 JK 플립플롭을 이용해서도 같은 기능을 하는 회로설계할 수 있음을 확인 하였다.과정 ... 실험 개요1) Finite state machine (FSM) 회로설계하고 분석할 수 있는 능력을 갖춘다.2) Mealy와 Moore state machine을 구분하고 각각의
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 클럭분주회로설계 verilog 설계
    클럭을 분주하는 방법은 다양하지만, 이번 실습에서는 순차논리회로에 의해 상태를 정의하고 일정한 조건에 의해 상태가 천이되도록 클럭 분주회로설계함으로써 순차논리회로설계하는 절차를 ... 제목클럭 분주회로 설계실습 목적많은 디지털 회로에서 클럭을 분주하여 사용한다. ... 클럭 분주회로를 verilog로 설계한 코드ClockDivider.vtb_ClockDivider.vmodule ClockDivider(clk,rst);input clk, rst;reg
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 크기비교기 verilog 설계
    제목 - 크기비교기 설계실습 목적크기 비교기 회로는 두 수 중에서 한 수가 크고, 같고, 작다는 것을 결정하는 회로이다. 이 회로는 조합논리회로이며 두 수를 비교한다. ... 이번 실습을 통해 Verilog의 구조적 설계 방법에 대해 배워 본다.Verilog, VHLD설계
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 충북대 기초회로실험 4-비트 산술논리회로 예비
    이용하여 4비트 ALU를 설계하고 시뮬레이션을 한다.이론ALU는 산술 연산회로논리 연산회로로 나누어진다. ... 시뮬레이션 결과를 인쇄하라.(6) 실험 3의 심볼을 이용하여 의 1비트 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(7) 실험 6의 심볼을 이용하여 의 4비트 논리 연산회로를 ... 심볼을 이용하여 의 8x4 Multiplexer를 그리고 시뮬레이션을 한 다음 심볼화 하라.(5) 실험 1과 실험 3에서 설계된 심볼을 이용하여 의 4비트 산술 연산회로를 그리고
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 논리회로실험_신호등(사거리) 레포트
    원인 모를 특정부분 전압저하현상과 회로를 작동시키면 정상작동하던 IC칩 손상이 확인되는 어려움 등이 있었다.논리회로실험 과목을 수강하는 동안 배운 모든 내용들을 완벽히 숙지하고 있어야 ... Traffic Controller Design실험일자 :학과 :과목명 : 논리회로실험 (1631)담당교수 :조 :학번 :이름 :1. 서론5가. 실험목적5나. 실험 내용5다. ... 실험 설계 과정6나. 실험 결과143. 결론16가.
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • 순차검출기와 32x8 sram verilog 설계
    다양한 조건을 가진 순차회로설계함으로써 순차논리회로설계 과정을 깊이 이해한다.Verilog설계- 순차 검출기의 상태도- Verilog 코드 기술SD.vmodule SD(stepCLK ... 제목 - 실험 결과 보고서실습 목적앞에서 실습한 순차회로 설계는 상태가 천이하는 조건이 단순했는데, 입력이 많아지고 조건이 복잡해지면 상태 천이 조건도 복잡해진다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 업다운 카운터 verilog 설계
    제목동기식 BCD 카운터 설계실습 목적동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... 이 실습을 통해서는 상태 천이를 위한 여러 가지 조건을 순차회로에 적용하는 방법에 대해 알아본다.실습 내용실습결과Verilog설계-BCD 동기식 카운터의 상태도- BCD 가산기의 Verilog ... 그리고 각 상태에서 0에서 9까지의 수를 각각 출력하도록 설계한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 전감산기 verilog 설계
    전감산기 설계 과정을 통해 조합논리회로를 Verilog 또는 VHDL로 설계하는 방법에 대해 공부한다. ... Schematic 설계 회로를 나타내라.Verilog, VHLD설계1.전감산기를 Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라.시뮬레이션 및 실행 ... 위의 논리식에서 기본 게이트를 이용해 전감산기의 블록도를 그려라.Schematic설계1.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • [결과레포트] 기본 논리게이트(AND, OR, NOT 게이트) 회로실험
    Experimental Result Report 교과목디지털회로설계담당교수소속경상대학교 공과대학 제어계측공학과학번성명조조조원실험일시2019년 월 일제출일2019년 월 일실험제목1. ... 구성하고, 입력상태에 따라 출력 전압을 오실로스코프로 측정하시오.입력출력AY0[V]15[V]074047406 (측정실패)입력출력AY0[V]15[V]0(5)그림과 같은 논리 회로를 ... 실험조건디지털회로구성에 대한 주의사항(1) 회로간의 배선길이를 가능한 짧게 함(2) 색깔 배선을 효과적으로 이용함?
    리포트 | 5페이지 | 1,500원 | 등록일 2019.12.10
  • 서강대학교 디지털논리회로실험 레포트 5주차
    이론3-1) 비교회로(comparators)디지털 컴퓨터 시스템 및 장치의 설계과정에서 두 개의 이진수의 비교를 통해 프로그램 및 논리의 흐름을 결정하는 것은 매우 일반화된 동작이다 ... D는 A와 B의 배타적 논리합을 해 주고, Bout은 A의 inverse와 B를 논리곱 연산해 준다면 half-subtractor를 만들 수 있다.3) 위 검토사항을 ADSU4의 datasheet에서 ... 이렇게 해 두면 입력 1과 입력 2의 값이 같을 때도 표시등이 켜지게 설계할 수 있다.COMPM4의 datasheet는 그림 15와 같다.그림 SEQ 그림 \* ARABIC 15.
    리포트 | 25페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서강대학교 21년도 디지털논리회로실험 4주차 결과레포트 (A+자료) - Multiplexer, Tri-State, Exclusive-OR gate
    디지털논리회로실험 4주차 실험 보고서목적-Multiplexer의 동작원리와 활용방법을 이해한다.-Three-state 소자의 동작원리와 활용방법을 이해한다. ... S1S0’ + S2S1’S0 + S2S1S0 이다.STEP 14:step13에서 볼 수 있듯이, 구현하고 싶은 Boolean function이 있다면 그111010111101표20-1 논리회로에 ... (설계 연습문제)STEP 16:그림16-1그림16-1은 4-channels의 데이터를 mux와 demux를 이용해 전달하는 체계를 보여준다.
    리포트 | 35페이지 | 2,000원 | 등록일 2022.09.18
  • 부경대 디지털 논리 설계 6장 7장 과제
    게이트를이용하여 디코딩회로를 만들고 각 칩의 어드레스 범위를 표시하라.연습문제 7-8. ... 모드 선택 입력 S1과 S0를 갖는 4-비트 레지스터의 논리 다이어그램을그려라. ... `1,`R`1` larrow `R`2연습문제 6-22. 2개의 4비트 레지스터 R1, R2와 AND 게이트, OR 게이트, 그리고인버터를 써서 아래의 문장 전부를 구현할 수 있는 회로
    리포트 | 11페이지 | 5,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • [PPT] 한눈에 들어오는 깔끔한 대학 / 취업 용 포트폴리오 양식 (프로젝트 관련)
    및 실험 전자회로 및 실험 자동화 회로 실 무 전력전자회로 실 험 제도 및 CAD 3 차원 CAD 디지털 논리회 로 컴퓨터 프로그래밍 E - CAD 창작과제 창의적 공학설 계 창의과제기초 ... 교과목 소개 02 창의적 공학설계 창의적 설계 공학기법 (TRIZ) 를 결합시켜 팀으로 설계활동을 이해하고 직접 수행하는 교과목 프로젝트 목표 03 1. ... 전국 배낭여행하기 인디게임 페스티벌 참가하기 스타트업 업체 방문하기1 학년 1 학기 1 학년 2 학기 2 학년 1 학기 2 학년 2 학기 컴퓨 터 공학부 이수교과과정 로드 맵 전기회로
    ppt테마 | 10페이지 | 1,500원 | 등록일 2022.01.11 | 수정일 2022.01.16
  • 디지털 실험 5장(논리회로 간소화) 결과보고서
    RTL 집적 회로회로가 생산된 1961년 부터 설계되어 1966년에 처음 가동된 Hyperlink "https://ko.wikipedia.org/w/index.php? ... 실험목적- BCD – 부당한 코드 탐지기의 진리표를 나타낸다.- 논리식을 간략화하기 위해서 카노맵을 이용한다.- 간략화된 논리식을 실행하는 회로설계하고 실험한다.2. ... 실험 5장 논리회로 간소화1.
    리포트 | 7페이지 | 3,000원 | 등록일 2019.12.17
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    디지털논리회로실험 8주차 실험 보고서목적-Shift registers의 구조와 동작원리를 이해한다. ... -Multiplier 설계를 통해 shift register의 활용방법을 익힌다.-4-digit 4-segment display의 구동원리를 이해하고 활용을 위한 회로설계한다.이론2 ... TC와 CEO는 이 회로에서는 필요 없다.
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    디지털논리회로실험 프로젝트 보고서 – 가위바위보 게임(3단계)1. ... 우선 아래는 state machine을 설계하기 위해 그린 state diagram이다.가위바위보 게임의 동작은 4가지로 나눌 수 있는데, IDLE, up_rolling, flash ... 동작 결과 및 설명전체적인 동작을 확인해보자.일단 전체적인 회로 구성은 위 사진과 같다. Idle 상태이기에, 4-digit display는 ‘--
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 6주차 결과레포트 (A+자료) - Flip-flop, Registers
    디지털논리회로실험 6주차 실험 보고서목적- Flip-flop의 종류를 파악하고 각각의 동작원리를 이해한다. ... 따라서 sequential circuit을 설계하고 작동시킬 때, propagation delay를 반드시 고려해야 할 것이다.3)D latch에서 setup time이란, CLK가 ... 포함하고 있다면, setup, hold time을 지키지 않았을 경우에 출력을 예측할 수 없게 될 것이고, 원하는 대로 동작하지 못할 것이다.따라서 sequential circuit을 설계하고
    리포트 | 20페이지 | 2,000원 | 등록일 2022.09.18
  • 디지털 시스템 설계 및 실습 전감산기 설계
    전감산기 설계 과정을 통해 조합 논리회로를 Verilog 또는 VHDL로 설계하는 방법에 대해 공부한다. ... 전감산기 설계1. 실습목적전감산기는 한 자리 이진수 뺄셈 시, 전가산기에서 더한 결과 캐리가 발생하는 것과 반대로 아랫자리에서 발생하는 빌림수를 고려해야한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.02
  • 컴퓨터구조 이론 및 실습 [아두이노 플립플롭 및 순차논리회로 실습]
    2] 순차 논리회로의 해석 및 설계란? 순차논리 회로 : 조합 논리회로 부분과 기억소자, 즉 플립플롭으로 구성되어 있따. ... 조 합논리의 입력과 기억소자에 저장된 정보는 회로의 동작에 사용되며, 그리고 기억소자 부분은 조합 논리회로 부분으로 치환되어 2진 정보를 저장하고, 저장된 정보는 ... 새로운 출력상태를 결정짓게 된다. ① 해석 : 회로도를 기준으로 상태표, 상태도를 도출하여 결과표를 만들어 내는 과정. ② 설계 : 결과표를 기준으로 상태표
    리포트 | 53페이지 | 5,000원 | 등록일 2019.10.02 | 수정일 2019.10.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:24 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대