• 통큰쿠폰이벤트-통합
  • 통합검색(4,198)
  • 리포트(3,682)
  • 자기소개서(336)
  • 시험자료(102)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리회로 설계" 검색결과 341-360 / 4,198건

  • 디지털 논리와 컴퓨터설계 제5판 7~12장 연습문제
    설계하라. ... 논리다이어그램8.4 2개의 선택변수 S1과 S0을 가진 4비트 산술연산 회로가 다음 표와 같은 산술연산을 수행한다. ... 이 산술 연산회로의 최하위 두 비트에 대한 논리 다이어그램을 그려라.S1S0Cin=0Cin=100F=A+B(add)F=A+bar{B}+1(subtract A-B)01F=bar{A}+
    시험자료 | 29페이지 | 2,000원 | 등록일 2022.01.05
  • 아날로그및디지털회로설계실습 래치와플립플롭
    아날로그 및 디지털회로 설계실습예비 REPORT9. 4-bit Adder 회로 설계분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : 순차식 논리회로의 기본 소자인 래치와 플립플롭의 ... 참고문헌- 아날로그 및 디지털회로 설계실습 교재 ... 서론순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.2. 실험결과1-3.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.15
  • 디지털 논리회로 7장 연습문제 풀이 (생능출판, 김종현)
    리포트 | 24페이지 | 3,000원 | 등록일 2021.04.28
  • 디지털 및 순서 논리 회로 프로젝트
    회로도* 과제를 통해 배운 점동기 순서 논리회로설계하는 방법을 알게 되었다. ... 요약정리, 과제를 통해 배운 점⊙ 참고 문헌⊙ 요구 사항* 동기 순서논리회로의 해석 과정, 설계 과정을 이해.* 상태 방정식, 디코더, 플립플롭을 이용한 설계과정을 이해.⊙ 배경 지식 ... -설계 과정 : 주어진 상태표, 상태도, 불함수으로부터 논리회로를 구현하는 절차.-해석 과정 : 이미 구현된 논리회로로부터 상태표나 상태도를 유도하는 절차.? 상태 정의?
    리포트 | 6페이지 | 2,500원 | 등록일 2021.07.02
  • 방통대 ) 디지털논리회로 대체과제물
    만들기 위해 능동소자와 저항과 같은 수동소자를 연결하는 단계이다.논리 설계 단계는 조합논리회로 또는 순서논리회로를 만들기 위해 논리소자를 연결하는 단계이다.시스템 설계논리설계 단계에서의 ... )단계, 시스템 설계(system design) 단계, 실제적 설계(physical design) 단계로 나뉜다.회로 설계 단계는 논리연산을 행하는 논리회로의 기본소자인 논리소자를 ... 조합논리회로 또는 순서논리회로등과 기억장치 등을 연결하여 프로세서, 입출력 제어장치 등을 설계하는 단계이다.실제적 설계는 프린트 회로 기판(Printed Circuit Board:
    방송통신대 | 7페이지 | 5,000원 | 등록일 2020.05.18 | 수정일 2020.06.13
  • 4bit ALU 설계하기(회로 그림 X, 논리식 O)
    아래 그림과 같이 회로 표현된 4bit ALU를 논리 식으로 작성다음의 논리 회로를 표로 작성하면 다음과 같다.Signal nameADDSUBLwSwBeqJInputsOp5001100Op4000000Op3000100Op2000010Op1001101Op0001100OutputsRegDst110XXXALUSrc00110XMemtoReg001XXXRegWrite11100XMemRead00100XMemWrite00010XBranch00001XJump000001ALUop111000XALUop000001XALUop1ALUop0functALU
    리포트 | 2페이지 | 2,000원 | 등록일 2020.11.13 | 수정일 2021.01.11
  • [A+]중앙대 아날로그및디지털회로설계 실습 예비보고서9 4bitadder
    보다 간소화된 다단계 조합 논리 회로설계한다.E 설계회로중 하나를 선택하여 2Bit 가산기 회로설계한다. ... 식을 구한다.C 에서 구한 간소화된 불리언 식에 대한 2-level AND-OR(NAND-NAND) 또는 ORAND(NOR-NOR)로직 회로설계한다.D XOR gate를 이용하여 ... 드 모르간 법칙이 성립한다. : 논리곱을 논리합으로 논리합을 논리곱으로 바꿔주는 법칙으로 NOR은 보수 입력의 AND 게이트로 나타낼 수 있다는 뜻이다.ㄴ4.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.09.08
  • [A+] 디지털논리회로 VendingMachine
    리포트 | 15페이지 | 4,500원 | 등록일 2021.08.04
  • 부산대학교 어드벤쳐디자인 7장 결과보고서
    또한 논리회로를 간략화하는 방법을 이해하고, AND, OR, NOT, XOR, NAND, NOR, NXOR 게이트를 사용하여 간략화된 다단 논리회로설계 방법을 익힌다.2. ... 실험 목적기본적인 논리 게이트인 AND, OR, NOT, NAND, NOR 등의 기능에 대해 알아본다. ... 기본적인 논리 게이트 소자로는 아래 그림과 같이 AND, OR 및 NOT(inverter) 게이트가 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.11.13
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화 방법으로 설계하시오.- ,(3) 교안의 2:1 Mux의 진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화 ... 실험 이론(1) 조합 논리 회로- 논리 곱(AND), 논리 합(OR), 논리 부정(NOT)의 세가지 기본 회로를 조합하여 구성한 논리 회로- 출력이 입력에 의해 결정됨.- 논리 게이트로만 ... IT CookBook, 디지털 논리회로. 조합논리회로.
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • [고려대학교 디지털시스템실험] - 모든 주차 A+ 결과보고서 총집합
    논리표는 다음과 같다.회로도대로 설계한 후, 테스트벤치를 통해 올바르게 설계되었는지 확인해본 결과, 정상 작동함을 확인할 수 있었다.해당 테스트벤치는 A,B,C,D가 각각 (0,0,0,0 ... 실험제목 Verilog, Quartus 툴 사용방법실험목표 Verilog 사용법을 이해하여 설계회로의 동작을 검증한다. ... 설계도는 다음과 같다.회로도대로 설계한 후, 테스트벤치를 통해 올바르게 설계되었는지 확인해본 결과, 정상 작동함을 확인할 수 있었다.해당 테스트벤치는 A,B가 각각 (0,0) / (
    리포트 | 45페이지 | 2,500원 | 등록일 2022.12.24 | 수정일 2023.01.02
  • 홍익대_디지털논리회로실험_2주차 예비보고서_A+
    디지털 논리실험 및 설계 2주차 예비보고서실험 준비1.1 NAND 7400 게이트, NOR 7402 게이트, XOR 7486 게이트의 datasheet를 확인하시오.7402 NOR ... 이때의 진리표는 XOR 게이트의 진리표와 같다.2.5 응용실험 (2)실험 준비 1.3에서 구현한 회로를 보자. ... 게이트는 지금까지의 게이트와는 다르게 1번 pin이 output이기 때문에 유의할 필요가 있다.1.2 기본 실험 (4)의 회로를 구현하시오.1.3 응용 실험 (1), (2), (3)
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 디지털 논리 회로 실험 레포트 [AND OR 게이트의 이용]
    이 스위치 회로는 새로운 정책이 통과되었을 때, 불이 켜지도록 설계되어 있다. 4개의 입력과 하나의 LED 출력을 가지는 논리 회로설계해라.Ⅲ. 준비물1. ... 따라서 효율적인 회로 설계를 위해ABC+CD를 NAND gate로 표현한 논리 회로를 바탕으로 회로를 구현한다.Ⅴ. ... 회로 설계자는 이러한 특징을 통해 자신이 설계하고자 하는 회로를 제작할 수 있다.그림 1 브레드 보드2.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.01.03
  • 충북대학교 전자공학부 4비트 산술논리회로와 시뮬레이션 예비보고서
    MySim을 이용하여 입력 신호를 인가하고 시뮬레이션을 하여 얻은 출력에 대해 설계논리 회로의 주어진 기능이 올바로 동작되는지를 검증한다. ... 시뮬레이션은 MyCAD를 이용하여 수행한다.① MyCAD의 사용법은 본 실험책에 수록된 부록을 참고한다.② MyCAD의 Schematic tool을 이용하여 논리 연산회로를, 설계하고 ... BXOR11E = A보수(2) 논리 연산회로 시뮬레이션 : 논리 연산회로의 동작 확신을 위하여 논리 연산회로 시뮬레이션을한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 기초전자회로실험1 4주차 결과레포트 논리회로의 기초 및 응용
    Boolean 대수 : 구성된 논리회로를 간략화한 형태로 변경시킬 수 있는 법칙 - 논리 회로에 대한 식이 주어지면 그 식을 구성하는 항과 변수의 수를 최소화함 으로써 논리 회로를 ... 논리게이트 IC 칩의 최대 / 최소 허용전압 논리게이트를 설계 제작한 IC 칩은 동작 가능한 허용 입출력 전압이 있다 . ... 논리회로의 기초 및 응용 실험 목표 - AND, OR, NOT, NAND 및 NOR 논리게이트 동작을 이해할 수 있다 . - 범용 게이트를 이용하여 기본 논리게이트를 구성할 수 있다
    리포트 | 7페이지 | 1,500원 | 등록일 2020.10.07 | 수정일 2022.03.28
  • [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Decoder, Encoder 실험결과보고서
    실험 목적Decoder와 Encoder를 이해하고, 2x4 decoder, 4x2 encoder, 3x8 decoder를 논리회로설계할 수 있다.Chapter 2. ... 관련 이론ü CodeCode는 부호로, 정보를 특정한 형태로 표현하는 규칙을 의미한다.대부분의 논리회로는 2개 값의 신호를 받아들이기 때문에, 10진수는 2진수의 항들로 표현되어야 ... 아래 행들도 마찬가지로 비교해보면 a와 b의 십진수의 값이 d 옆의 숫자와 같은 10진수로 표현될 때, 1이 됨을 알 수 있다.- and게이트와 not 게이트를 이용하여 논리회로
    리포트 | 8페이지 | 2,500원 | 등록일 2023.02.28
  • 디지털 시스템 설계 및 실습 클럭 분주회로 설계 verilog
    클럭을 분주하는 방법은 다양하지만, 이번 실슴에서는 순차논리회로에 의해 상태를 정의하고 일정한 조건에 의해 상태가 전이되도록 클럭 분주회로설계함으로써 순차논리회로설계하는 절차를 ... 실습목적많은 디지털 회로에서 클럭을 분주하여 사용한다.
    리포트 | 4페이지 | 2,500원 | 등록일 2021.03.24
  • 논리회로 연습문제 1주차-1
    논리회로 연습문제 1주차 - 1전기공학과1. 2진논리[Binary logic]Ex1) 명제의 판단 - 이 예시를 사용한 이유는 A라는 명제가 있을 때 A는 참 혹은 거짓이라는 값을 ... 공장에서 사용하는 기계에는 수많은 ×·스위치가 있지만, 메인인 전원 스위치가 off일 시에 아무것도 작동하지 않으며, on에 전원 스위치가 위치할 경우 기계가 제 역할을 할 수 있도록 설계한 ... 논리연산자[Logical Operators]Ex1) AND - 3개의 논리 연산자 중 하나로 AND의 논리연산을 수행한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.04.15
  • multiplexer(멀티플렉서) VHDL 실습보고서
    배경이론(Background)1)Multiplexer여러 개의 입력신호중 하나를 선택하여 출력하는 논리회로로, 세가지 값으로 구성된다, 입력값과, 입력값을 선택해주는 값, 그리고 출력값으로 ... 그리고 이를 회로도로 구현하면, 아래와 같은 회로도가 만들어진다.그림2. 2-1multiplexer내부회로도2)8-1 multiplexer오늘 실습에서 구현하고자 하는 것은 8-1 ... 1.목적(Purpose)이번 실습은 8-1 Multiplexer를 구현하는 실습으로 8개의 입력값중 1개의 출력값을 선택하여 만들어내는 Multiplexer를 설계하는 실습이다. 8개의
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 9. 4-bit Adder 회로 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    실습 목적- 조합논리회로설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로설계한다.3. ... (D) XOR gate 를 이용하여 보다 간소화된 다단계 조합 논리 회로설계한다. ... 부울대수의 모든 변수의 값을 ‘0’ 과 ‘1’ 로 표현한다.조합 논리 회로는 입력의 조합에 따라 출력이 결정되는 회로이며 설계 순서는① 회로의 기능을 입력과 출력의 관계로서 명확하게
    리포트 | 10페이지 | 1,000원 | 등록일 2022.10.30 | 수정일 2023.01.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:15 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대