• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,211)
  • 리포트(3,688)
  • 자기소개서(342)
  • 시험자료(103)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리회로 설계" 검색결과 141-160 / 4,211건

  • 01-논리회로설계실험-예비보고서
    4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 3. 11논리회로설계 실험 예비보고서 #1실험 1. ... 과 목 : 논리회로설계실험과 제 명 : #1 기본게이트 설계 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 ... 따라서 동작적 모델링으로만 회로설계하면 회로의 효율성이 떨어지는 일이 발생할 수 있을 것이다.- 자료 흐름 모델링 : 상위 추상레벨을 갖는 동작적 모델링과 하위 추상레벨을 갖는
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 디지털회로설계이론 산술논리연산
    따라서 실제 회로에서는 주로 감산기를 별도로 설계하지 않고 가산기를 감산기로 사용한다.4bit 병렬 2진 가산기 블록도4진 병렬 가산기 및 가감산기10진수 5에서 7을 감산할 경우의 ... 산술논리연산가산기반가산기 : 2진수 덧셈에서 두 개의 비트 A와 B를 더한 합 S와 자리올림(carry) C0를 출력하는 조합회로이다.가산기전가산기 : 두 개의 비트 A, B와 밑자리로부터의 ... 결과에 (6)10 즉 (0110)2를 더해주는 보상회로가 필요.BCD 가산기BCD 가산기BCD 가산기BDC 가산회로 예제{nameOfApplication=Show}
    리포트 | 13페이지 | 1,000원 | 등록일 2013.10.27
  • 04-논리회로설계실험-예비보고서
    과 목 : 논리회로설계실험과 제 명 : #4 디코더 엔코더 설계 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 ... 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 1논리회로설계 실험 예비보고서 #4실험 1. ... 따라서 각 분할 영역(a~g)은 입력 4개에 의해 출력을 결정 지어져야 한다.- 따라서 카노맵을 이용하여 논리회로설계해보면 다음과 같다.3.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 05-논리회로설계실험-예비보고서
    조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 8논리회로설계 실험 예비보고서 #5실험 1. ... 과 목 : 논리회로설계실험과 제 명 : #5 조합회로 설계 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 4 ... .- 하나의 입력을 받아 여러 개의 출력포트 중 하나를 선택하여 출력해주는 회로이다.-(4) ALU- 산술논리연산장치(ALU : Arithmetic Logic Unit)의 핵심요소로서
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 10-논리회로설계실험-예비보고서
    과 목 : 논리회로설계실험과 제 명 : #10 순차회로 설계_FSM (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : ... A반 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 5. 6논리회로설계 실험 예비보고서 #10실험 10. ... 『VHDL을 이용한 디지털 시스템 설계』 CENGAGE, 2008, ‘VHDL 모듈’- 12_순차회로+설계_+FSM PPT- http://satrol.tistory.com/13- http
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 논리회로설계 진수변환기
    스케줄1주차2주차3주차설계목표 및 관련이론습득자료수집 & 회로도 작성예비 제안서 작성 & 발표부품구입 및 회로설계결과 측정 및 분석최종 보고서 작성 ... 회로도(1)3진수 회로(2)10진수 회로(3) 최종 결과 회로3.설계결과10진수(3진수)출력파형ON1(001)2(002)3(010)4(011)5(012)6(020)7(021)8(022 ... TROUBLE SHOOTING문제점해결방안회로의 복잡함으로 인한 가격,실용성 문제☞ 더 간단한 회로를 구성하고 설계낮은 전압으로 인한 출력오류☞ 전압을 높은 것으로 교체값이 안나오는
    리포트 | 9페이지 | 2,000원 | 등록일 2012.09.13
  • 성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter
    성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter입니다.당시 한태희 교수님이셨고, 두번째 과제였습니다.8bit decimal Counter schematic
    리포트 | 1,000원 | 등록일 2017.05.23
  • 논리회로설계실험 프로젝트 8Bit 계산기
    논리회로설계 설계 보고서 #11. ... 설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로설계한다.8bit 덧셈기를 구현하고 결과를 7 segment로 출력하는 회로설계하는 ... 토의이번 설계의 목표는 8비트 BCD 덧셈기를 설계하고, 그 결과를 7 segment로 출력하는 회로설계하는 것이었다.
    리포트 | 11페이지 | 2,000원 | 등록일 2015.04.17
  • 논리회로 카운터 신호등 설계
    동기식 카운터를 사용하는 이유는 비동기카운터에 비해 논리 회로 구현이 복잡하기는 하지만 동시에 Clock가 인가 되므로 모든 플립플롭이 동시에 동작 따라서 한 단의 전파지연만 존재하게 ... J와 K 입력은 모두 1이 되어야 합니다.플립 플롭의 입력 함수는 간단하게 하위비트의 논리적 AND이다.JKZ00Q01010111Q'Ja = Ka = 1Jb = Kb = AJc = ... 회로도입니다.◎ 시뮬레이션0초 일 때 // 1~5초 일 때 // 6~12초일 때 // 13~15초 일때■ 결론, 고찰 및 문제점◇ 카운터 구현카운터가 구현할 수 있는 최대의수는 N1로
    리포트 | 5페이지 | 3,500원 | 등록일 2012.07.09
  • [대충] 예비 순차논리회로 설계 및 구현(1)
    디지털공학실험(예비보고서)실험 : 순차논리회로 설계 및 구현(1)1. 실험 목적가. 4상태를 가진 상태도(state diagram)를 회로로 구현하고 동작을 확인한다.나. ... 리플 카운터를 설계하는 것입니다. ... T-플립플롭을 이용한 4비트 리플 카운터를 설계하고 구현한다.다. 최대 동작 주파수와 전달 지연(propagation delay)을 측정한다.2.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • [대충] 결과 순차논리회로 설계 및 구현(1)
    디지털공학실험(결과보고서)실험 : 순차논리회로 설계 및 구현(1)◆실험가. 4비트 이진 리플 카운터를 구성하고 다음의 표를 완성하라.클럭출력십진수(DSTM1)Q3Q2Q1Q00LLLL01LLLH12LLHL23LLHH34LHLL45LHLH56LHHL67LHHH78HLLL89HLLH910HLHL1011HLHH1112HHLL1213HHLH1314HHHL1415HHHH15위의 ... 사진에서의 구성한 회로는 왼쪽의 회로 그림과 동일합니다.사진에서처럼 실제 구성한 회로에서는 최초CLK CP에 따라 변하는 결과값 Q0, Q1, Q2, Q3를 바로바로 눈으로 확인하기 ... 먼저 회로를 전부 구성한 후, 상태를 초기화 하기 위해 CP를 입력하지 않은 상태에서 not(PR) = H, not(CLR) = L을 입력했습니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 논리회로설계실험 메모리 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity ram
    리포트 | 3페이지 | 3,000원 | 등록일 2010.12.22
  • [논리회로실험] 실험1. 기본 게이트 설계
    과 목 : 논리회로설계실험과 제 명 : 실험1. ... 기본 게이트 설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.03.24IntroductionModelSim 프로그램을 통해 '논리회로' 시간에 ... 배운 논리 게이트들의 VHDL 코드를 직접 짜보고 실제로 잘 구현되는지 확인한다.Design① Describe what your circuit does이번 사용할 회로는 단순한 논리
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.22
  • [대충] 결과 순차논리회로 설계 및 구현(2)
    디지털공학실험(결과보고서)실험 : 순차논리회로 설계 및 구현(2)◆실험가. 4비트 동기식 상향 카운터를 설계하고 출력을 확인하여 다음의 표를 완성하라.클럭수Q3Q2Q1Q0십진수초기화LLLL01LLLH12LLHL23LLHH34LHLL45LHLH56LHHL67LHHH78HLLL89HLLH910HLHL1011HLHH1112HHLL1213HHLH1314HHHL1415HHHH1516LLLL017LLLH118LLHL2위의 ... 사진에서의 구성한 회로는 교재의 회로 [그림8-9]과 동일합니다.다만 사진에서처럼 실제 구성한 회로에서는 결과 값 Q0, Q1, Q2, Q3를 바로바로 눈으로 확인하기 위해 7-segment를 ... 먼저 회로를 전부 구성한 후, 상태를 초기화 하기 위해 CP를 입력하지 않은 상태에서 not(PR) = H, not(CLR) = L을 입력했습니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 논리회로설계실험 ALU & multiplier (결과보고서)
    각 자리마다 어떠한 연산을 할지 정하게 되는데 이번에 설계할 8비트 ALU 회로는 산술연산 (덧셈, 뺄셈, 증가, 감소), 논리연산(AND, OR, XOR, NOT), 시프트연산을 ... 먼저 ALU는 if와 case문을 활용하여 회로설계하게 되는데 ALU 자체가 연산을 하고 싶은 상태를 지정하여 주는 것이기 때문에 if와 case의 역할과 크게 다른 것이 없게 ... 수행할 수 있는 회로로, 총 12가지의 연산을 할 수 있게 만들어 준다.
    리포트 | 19페이지 | 1,000원 | 등록일 2015.08.25
  • 논리회로설계실험 FSM moore LCD (결과보고서)
    [네이버 지식백과] 무어 순서 기계 [Moore sequential machine, -順序機械] (IT용어사전, 한국정보통신기술협회)>>설계회로이번에 설계회로는 moore machine을 ... 이러한 활동들은 논리적인 상태들의 진행으로 기술되는 호출 과정을 가진다. ... 순서 회로의 경우, 그림에 표시한 바와 같이 현재의 출력이 현재의 입력에 의하지 않고 현재의 상태만으로 규정된다.
    리포트 | 19페이지 | 1,000원 | 등록일 2015.08.25
  • 성균관대 논리회로설계 A+ 소스
    2012년도 성균관대학교 논리회로설계 실험/실습 A+받은 보고서 소스입니다.
    리포트 | 2,000원 | 등록일 2013.12.18 | 수정일 2013.12.28
  • 성균관대 논리회로 설계실험 VHDL을 이용한 4bit Full adder 입니다.
    1)4bit Full_adder의 schematic을 그리시오. a=”0101” , b=”1001”, c_in = ‘0’ 에 대해서 각각의 bit에서의 s와 most bit 에서의 c_out을 schematic에 표현하시오. (스캔 첨부 가능)1.Full_adder..
    리포트 | 2페이지 | 1,000원 | 등록일 2017.05.23
  • 논리회로설계 프로젝트 - abel 학번구하기
    처음 작성한 abel 파일 - 실행시 out파일이 생성되지 않음.MODULE hooniv2TITLE 'My Sample Program'hooniv DEVICE 'P22V10';"INPUT PINSSEL,A,B,C,D,E PIN 2,3,4,5,6,7;"OUTPUT PIN..
    리포트 | 7페이지 | 2,000원 | 등록일 2014.10.07
  • 09 논리회로설계실험 예비보고서(fsm)
    논리회로설계 실험 예비보고서 #9실험 9. FSM1. ... 대해 알아보고 그 방지법에 대해 생각해본다.무어머신의 개념을 이용하여 커피자판기를 설계해본다.2. ... 순차회로를 제어하는 데 쓰이며, 밀리 머신과 무어 머신으로 구분된다.(2) Mealy machine회로의 출력 신호가 현재 상태들과 입력 신호에 의해 결정되는 형태의 순차회로.
    리포트 | 6페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:34 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대