• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,211)
  • 리포트(3,688)
  • 자기소개서(342)
  • 시험자료(103)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리회로 설계" 검색결과 101-120 / 4,211건

  • 디지털논리회로 MULTISIM feedback amp 설계
    전자회로(1) 과제feedback amp 설계1. 선택 동기2. Survey3. circuit-explanation4. Pspice Simulation5. ... Survey3. circuit-explanation전체 Mixing Amp 회로도3.1 Mixing 회로- Signal을 Mix하는 용도로 다음회로와 같다. ... Capacitor는 교류 신호에 대해서 저항이 특히 낮으므로 R1이나 Q2쪽으로 노이즈가 들어가지 않고, C8로 빠질 것이다.3.4 Feedback 회로- 이 회로에는 전체적인 Amp의
    리포트 | 9페이지 | 1,500원 | 등록일 2017.06.28
  • 논리회로 설계-Image Sensor
    설계라 는 것이 쉽지 않고 , 회로가 예상하는 것처럼 실제로 결과가 잘 나오지 않지만 교수님께서 말씀하셨던 것처럼 이 수업을 시작으로 우리 나라의 설계 인력이 될 수 있도록 앞으로도 ... 그래도 세명이서 조금씩 나눠서 공부해가며 설계를 하다 보니 수업시간에 교수님께 배웠던 것들이 정말 많은 도움이 되었고 , 단편적 인 기능을 하는 회로를 벗어나 실제로 사용되는 소자를 ... 설계하는 것이 힘들지만 힘든 만큼이나 많은 보람이 있다는 것을 알게 되었습니다 .
    리포트 | 20페이지 | 3,000원 | 등록일 2016.06.25 | 수정일 2016.06.27
  • 논리회로설계실습 순차회로(카운터) 결과보고서
    논리회로설계 실험 결과보고서 #8실험 8. 순차회로 설계 - 카운터1. ... ”→ “11111100”→“00000000”(총 16가지 경우)8비트 크기를 가지는 X[7:0]의 각 비트는 순서대로 RoV-Lab 3000의 LED 8개의 점등 여부를 결정하며 논리값이 ... 실험 결과 1Hz 8비트 존슨 카운터와 링 카운터를 설계하시오.
    리포트 | 7페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로설계실험 기본게이트설계 예비보고서
    논리회로설계 실험 예비보고서 #1실험 1. ... 논리합을 구현한 디지털 논리 회로로 게이트의 입력을 A, B, 출력을 C라 하면 의 논리식을 구현한 것이다. ... 설계 가능 논리 소자는 Hyperlink "https://ko.wikipedia.org/w/index.php?
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로설계실험 기본게이트설계 결과보고서
    논리회로설계 실험 결과보고서 #1실험 1. 기본게이트 설계1. ... 실험 목표주어진 진리표를 해석하여 해당하는 입출력 관계를 가진 논리회로를 Xilinx 프로그램을 사용하여 설계 해본다. ... 고찰(1) 조원1의 고찰A'BC회로 설계를 시작하기에 앞서, 주어진 진리표만으로는 설계회로의 입출력 관계를 명확히 파악할 수 없을 뿐만 아니라 출력 F를 입력 A,B,C에 대한
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로설계실습 디코더 엔코더설계 결과보고서
    논리회로설계 실험 결과보고서 #4실험 4. 조합회로 설계 - 디코더, 엔코더1. ... 여기서 보내고자 하는 4비트 데이터를a_3 a_2 a_1 a_0이라 하고 3비트의 패리티 비트를r_1 r_2 r_3이라 하면 패리티 비트는 다음과 같은 논리로 생성된다.r_0 = a ... 따라서 loop를 사용하여 for문을 통하여 설계하였다.
    리포트 | 9페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로설계실험 Memory 예비보고서
    논리회로설계 실험 예비보고서 #6실험 6. ... 조합 회로 설계-MEMORY예비 이론메모리의 구조메모리란 데이터를 축척 기억함과 동시에 차후에 필요할 때 꺼내어 이용할 수 있도록 한 장치를 말한다. ... 즉, 사용자가 아닌 집적 회로 제조업체가 프로그램 한 내용의 ROM유형이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2018.01.10
  • [논리회로설계실험]Decoder와 Encoder설계
    Modeling(case문 사용) 동일한 진리표를 사용하여 설계하라. ... and (not x(1)) and (not x(2)) and EN; -- 단순히 입력에 대한 출력을 지정해 주는 것이 아니라 converter와 and_gate를 이용한 동작을 위해 회로도의 ... 실험 내용1) 3x8 Decoder - Data Flow Modeling 아래 진리표와 같은 값을 갖도록 Decoder를 설계하라.2) 3x8 Decoder - Behavioral
    리포트 | 7페이지 | 1,500원 | 등록일 2015.07.07
  • 동기순차 논리회로 해석 및 시스템 설계
    동기순차논리회로 해석 및 시스템 설계동기순차논리회로는 클럭이라는 주기적인 신호에 동기하여 순차적으로 동작하는 회로를 말한다. ... 상태도를 그린다.6.4.2 RS 플립플롭을 사용한 순차논리회로설계순차회로 설계에 필요한 RS 플립플롭의 여기표를 유도해 보자.표 6-16 RS 플립플롭의 여기표Q(n)Q(n+1) ... 해석과 논리 시스템 설계6.3.1 JK 플립플롭의 특성과 회로설계JK 플립플롭의 특성은 특성표에 의해 묘사된다.J(n)K(n)Q(n+1)00011011Q(n);불변0;리셋1; 셋Q
    리포트 | 31페이지 | 5,000원 | 등록일 2017.12.31
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 ... FSM을 디지털 하드웨어로 설계하는 경우에는 state 변수를 기억하는 레지스터 블록과 state 변수의 천이를 표현하는 함수와 출력 값을 결정하는 함수를 위한 조합 논리 회로의 영역으로 ... 순차회로에서 수행한 내용들이 stopwatch를 구현하는데 많이 사용되었으며 카운터 설계, finite state machine 설계 등이 있었다.
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 논리회로 설계프로젝트 (A+받은 레포트)
    설계프로젝트 목적- 논리회로에서 사용되는 소자들의 동작원리를 파악하고, 세부 기능 블록을 설계 및 구현함으로써 실무 지식을 배양함- 논리회로 설계 소프트웨어를 사용하여 논리회로를 모의 ... 프로젝트명- 동기 논리회로 설계2. ... 논리회로설계 프로젝트■ 목차 ■1. 프로젝트명2. 설계프로젝트 목적3. 설계의 구성요소 기술4. 설계의 구성요소 목표 및 분석5. 설계의 현실적 제한조건6. 상세 회로 설계도7.
    리포트 | 7페이지 | 1,500원 | 등록일 2017.07.23
  • 정수형 가감승제 논리 회로 설계
    리포트 | 5,000원 | 등록일 2014.04.14
  • 논리회로설계실험 FlipFlop Register 예비보고서
    논리회로설계 실험 예비보고서 #7실험 6. 조합 회로 설계- Flip-flop, Register실험 목표Latch와 Flip-flop 그리고 레지스터에 대하여 알아 본다. ... 논리기호회로도VHDL 코드소스코드테스트 벤치 코드Waveform실험 2. ... 그 후 Flip-flop의 종류 중 하나인 JK Flip-flop의 진리표, 특성표, 상태도, 논리 기호, Nor 게이트를 이용한 회로도를 바탕으로 비동기 입력 신호를 제외하여 VHDL
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로설계실험 FlipFlop Register 결과보고서
    논리회로설계 실험 결과보고서 #7실험 7.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • [논리회로설계실험]커피자판기설계
    커피자판기(FSM 설계)1. 실험 내용1) 다음 상태도와 동작에 따라 커피자판기를 설계하시오.① 상태도② 동작 설명? 전원이 인가되고 Recet이 되면 "00"상태로 존재? ... IEEE.STD_LOGIC_1164.ALL;entity fsm isport ( clk : in std_logic; -- 다섯 개의 입력과 하나의 출력을 선언, state_out은 회로
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 순서 논리회로 설계
    설계 목표 관련 기술 및 이론 설계 내용 및 방법 회로 설계 결과 토의우리 주변에서 순서 논리 회로를 이용하여 설명되거나, 설명할 수 있는 제품이나 놀이를 생각해 보고 설계해 본다. ... 주제를 정하는 부분부터 많이 어려웠었고, 주제를 상태도와 상태표로 옮긴 이후에도 플립플롭으로 설계를 하는 과정에서도 2학년 때 배웠던 논리회로책을 들춰가며 했다. ... 회로 순서 논리 회로의 다음 출력은 현재 공급된 입력의 조합과 현재의 출력 상태에 의해 결정되는 회로이다.
    리포트 | 23페이지 | 2,000원 | 등록일 2012.10.17
  • 논리회로, 디지털공학, 회로 설계
    간단한데 비해 기능이 다양하다.특히 실제로 사용하기 전에 회로를 시뮬레이션 해 볼 수 있어 유용하다.⑵ 설계 ①:기본 논리게이트 설계 및 구현① NOT 게이트 회로① NOT 게이트 ... 2010년 논리회로 설계보고서제 출 자 이 름제 출 자 학 번제 출 자 분 반담 당 교 수마 감 일 시제 출 일 시이 메 일 주 소점 수/ (점수/만점)목 차⑴ 시뮬레이터 요약⑵ 설계 ... ①:기본 논리게이트 설계 및 구현⑶ 설계 ②:불 대수와 드로르간의 정리 설계 및 구현⑷ 설계 ③:Exclusive-OR 게이트 설계 및 구현⑸ 설계 ④:가산기와 감산기 설계 및 구현⑹
    리포트 | 61페이지 | 2,500원 | 등록일 2013.10.16
  • 아날로그 및 디지털 회로 설계 실습 8. 논리함수와 게이트
    설계실습 계획서8-3-1 XNOR 게이트 설계 및 특성 분석(A) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를 그리고 XNOR( ... 4X2 Thermometer to Binary 디코더의 기능에 대해 설명하고, 그 정의에 따라 진리표를 만들고, 4X2 회로도를 설계한다. ... Exclusive NOR)의 진리표를 사용하여 AND, OR, NOT 게이트로 XNOR의 회로도를 설계하라.NAND (AND+NOT)NOR (OR+NOT)XOR :Y= bar A B
    리포트 | 4페이지 | 1,000원 | 등록일 2018.03.21
  • 논리회로설계실습-FSM-결과보고서
    논리회로설계 실험 결과보고서 #9실험 9. ... 스텝 클록 발생 회로를 사용한 링 카운터는 스위치를 누른 시점에 대하여 한번씩 작동하도록 설계하여야 한다. ... 이를 사용하여 스텝 클록 펄스 발생회로를 이용한 링 카운터를 설계해 본다. 작성된 코드를 사용하여 RoV-Lab3000과 연결하여 하드웨어 작동을 해 본다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    논리회로설계 실험 설계프로젝트라인트레이서1. 실험 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 수행하였다. ... 순차회로에서 수행한 내용들이 linetracer를 구현하는데 많이 사용되었으며 분주기 설정, finite state machine 설계 등이 있었다. ... 이를 사용하여 검은색 바탕에 흰색선으로 그어진 트랙 따라 주행하는 라인트레이서 코드를 설계해본다.
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대