• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,211)
  • 리포트(3,688)
  • 자기소개서(342)
  • 시험자료(103)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리회로 설계" 검색결과 21-40 / 4,211건

  • 논리회로설계실험 BCD가산기 레포트
    논리회로설계 실험 설계과제 보고서주제 : #1 BCD 가산기 설계1. 설계 배경 및 목표1) 설계 배경컴퓨터는 2진법을 이용하여 계산을 한다. ... 입력 신호인 BCD와 출력 신호인 7 segment에 대해서 진리표로 나타낸 후, 카르노맵을 사용하여 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더 논리회로를 ... 2진수에서 10진수로의 변환이 간단하고 이를 7 segment에 연결시키면 쉽게 10진수를 출력할 수 있다는 장점 때문에 주로 10진법으로 수를 표기하는 것이 필요한 프로세서나 회로에서
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 논리회로설계 실험 디코더 인코더
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 & 인코더1. ... 5) 논리회로설계실험 국태용교수님 아이캠퍼스 강의자료http://terms.naver.com/entry.nhn? ... 2개를 사용한 논리회로논리식② AND 게이트 3개와 OR 게이트 1개를 사용한 논리회로논리식③ AND 게이트 1개와 XOR 게이트 1개를 사용한 논리회로논리식이 외에도
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로설계실험 라인트레이서 레포트
    논리회로설계 실험 설계프로젝트 보고서주제 : 라인트레이서 설계1. ... 설계 배경 및 목표1) 설계 배경지금까지 여러 VHDL표현 방식에 대해서 배우고 그에 따른 여러 조합회로와 순차회로설계하였다. ... 순차회로에서 설계한 분주기 설정, finite state machine 설계 등이 linetracer를 설계하는데 많이 사용될 수 있었다.
    리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • 논리회로실험 병렬 가산기 설계
    논리회로설계 실험 예비보고서 #3실험 3. 병렬 가산기 설계1. ... wiki/2%EC%9D%98_%EB%B3%B4%EC%88%983) 병렬 가감산기 : http://blog.naver.com/k97b1114/1401592913964) 병렬 가산기 : 논리회로설계실험 ... 계산결과에서 2의 보수에서는 가장 높은 자리에서 자리올림이 발생시, 이를 무시하므로 결과값은 00011001이다.(3) 병렬가산기 논리회로오른쪽의 그림은 8bit 병렬가산기의 논리회로도이다
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • [논리회로 실험] 디멀티플렉서 verilog 설계
    =S1’S0’Y1=S1’S0Y2=S1S0’Y3=S1S0Schematic 회로도Verilog, VHLD설계1. if~else 또는 case 형식을 사용해 두 가지 방법으로 디멀티플렉서를 ... 따라서 이번 실습에서는 디멀티플렉서의 동작을 이해하고, Verilog 또는 VHDL이 회로로 합성되는 과정을 이해한다.실습 내용실습결과논리식과Schematic설계디멀티플렉서 진리표제어변수출력S1S0Y0Y1Y2Y300I000010I001000I011000IY0 ... 제목디멀티플렉서 설계실습 목적디멀티플렉서는 하나의 입력을 여러 개의 출력 중 하나로 전송한다. 4x1 디멀티플렉서는 하나의 입력을 4개의 출력 중 하나로 전송하며, 선택된 출력에 입력을
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.24
  • 논리회로설계실험_디코더/엔코더 레포트
    논리회로설계 실험 결과보고서 #4실험 4. 디코더, 엔코더1. ... 실험 목표디코더와 엔코더의 원리를 이해하고 2x4 디코더와 4x2 엔코더를 응용하여, 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션을 ... 실험 결과- 실험 1.2x4 디코더를 설계하시오.1) 진리표InputOutputA _{1}A _{0}Y _{3}Y _{2}Y _{1}Y _{0}0*************0100111000Y
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 논리회로설계실험 10주차 up down counter설계
    강의내용에서 다룬 두가지 machine의 기본적인 modeling방식과 작동원리를 참고하여 설계할 것이다. ... Moore machine으로 설계한 module은 OUT이 현재의 State에만 의존하므로 바로 바뀌지 않고 Clock의 Positive edge에서 OUT이 바뀌는 모습을 관찰할 ... Mealy machine으로 설계한 module은 OUT이 현재 State와 MODE 둘의 조합에 따라 결정되므로 320ns에서 바로 up counter의 동작이 OUT으로 나타나는
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • [논리회로설계실험]VHDL을 통해 구현한 RAM
    Enable이 1이고 Clk이 바뀔때마다, 4bit의 주소값이 들어와, ram안에서 주소값을 이용하여 해당하는 위치의 데이터값을 출력으로 내보내는 회로이다.그림1. ... 방식으로 설계하여본다.2. ... 1.목적(Purpose)이번실습은 ROM과 RAM의 차이에 대해서 알고, 실습으로는 RAM을 설계하는 실습이다.
    리포트 | 15페이지 | 2,000원 | 등록일 2021.06.26
  • 논리회로 이론 및 설계 14주차 과제
    리포트 | 1페이지 | 1,000원 | 등록일 2020.11.30
  • 디지털논리회로 나눗셈기 설계 보고서
    결국 우리는 위와 같은 가정들을 만족하면서 나눗셈기를 설계를 하여야한다. ... 회로 구현의 경우 제수를 오른쪽으로 이동하는 대신 피제수를 왼쪽으로 이동합니다 (후자에 더 많은 레지스터가 필요한지 확인하게끔) 이걸 토대로 블록 다이어 그램은 다음과 같다.또, 나눗셈기의 ... 그러기 위해 결과적으로 다음과 같음을 보인다.나눗셈기 알고리즘에 맞게 설계하기위해서는 다음 그림2를 사용하여 다음과 같이 작업한다. 1.
    리포트 | 9페이지 | 2,500원 | 등록일 2023.05.18
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    논리회로설계 실험 설계과제 보고서주제 : #2 STOPWATCH 설계1. ... 즉 순차회로는 조합회로와 메모리를 합친 것이다.이는 순서논리회로, 프로토콜, 컴퓨터, 컴파일러 등의 동작을 표현, 이해, 설명하고 설계하기 위한 체계적이고 수학적인 방법의 틀을 제공한다 ... 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 논리회로실험 프로젝트 2, stop watch 설계
    논리회로설계실험 프로젝트 #2Stop watch 설계1. ... 설계 목표BCD, 7 segment, 카운터, Debouncing 등에 대해 조사해보고, 지금까지 학습해왔던 논리회로 설계기술을 이용하여, 분 : 초 : 1/100초를 나타내고 리셋기능과 ... 알려준다.- 숫자 4를 표현한 것은 다음 그림과 같다.4) Counter- Counter란 반복해서 일어나는 현상의 수를 셈하는 장치로 클록 펄스에 따라 수를 세는 계수능력을 갖는 논리회로이다
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 아날로그및디지털회로설계실습 논리함수와게이트
    아날로그 및 디지털회로 설계실습예비 REPORT7. ... (decoder): n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 논리 회로. ... 및 특성 분석(A) Vcc를 5 V (논리값 1)에서 0 V (논리값 0)로 단계적으로 변화시켜서 NAND 게이트가 동작하는 최소 정격 전압을 구하는 설계 방법을 생각하고, 그 단계적
    리포트 | 5페이지 | 1,000원 | 등록일 2021.12.15
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 과제
    조합논리 회로의 예 (7-segment/Decoder 회로 설계) 과제7-segment LED의 특성을 확인하였을 때 Common Cathode type이라면, 7-Segment LED의
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 논리회로설계실험_비교기,MUX,ALU 결과레포트
    논리회로설계 실험 결과보고서 #3실험 3. 비교기_MUX_ALU1. 실험 목표비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. ... 실험 결과- 실습 1 1bit, n bit 비교기 설계동작적 모델링자료 흐름 모델링진리표에 따라 작성해보자. ... 비교기 mux demux alu를 직접 설계해보는 시간이었는데 저 중 익숙한 것은 비교기와 mux밖에 없었다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. ... 이진수 디코더는 부호화된 2진수 정수를 부호화되기 전으로 되돌리는 조합논리회로이다.n TIMES 2 ^{n} 디코더는 2진수로 된 n개의 입력 신호를 최대2 ^{n}가지 출력 신호로 ... 원래의 형태로 되돌리는 것도 디코더이다.’4to 10 decoder디코더와는 반대로 신호를 코드화하는 기기를 엔코더라고 한다.(2) 엔코더엔코더는 디코더의 반대 기능을 수행하는 조합논리회로
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 7장 순차논리회로 설계 및 구현(1) 예비
    7장, 순차논리회로 설계 및 구현(1) 예비보고서1. 목적가. 4상태를 가진 상태도를 회로로 구현하고 동작을 확인한다.나. ... 회로 설계바. ... 이론에 나와 있는 순차회로 설계를 참고하여 [실험 가]에 제시된 그림 7-9의 상태도로부터 회로설계하라.1.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.01.06
  • 7장 순차논리회로 설계 및 구현(1) 결과
    7장, 순차논리회로 설계 및 구현(1) 결과 보고서◈ 실험 결과 및 검토가. ... 설계한 뒤, 그 회로를 브레드보드에 구성하여 결과값을 얻는 복잡하고 어려운 실험이었다. ... 그림 7-9의 회로설계하고 다음의 천이표를 완성하라.☞ 그림 7-9 상태도를 보고 왼쪽에 천이표를 그리고 아래에D _{A} 와`D _{B}에 대한 카노맵을 그린 후 식을 간략화
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.06
  • 8장 순차논리회로 설계 및 구현(2) 예비
    8장, 순차논리회로 설계 및 구현(2) 예비보고서1. ... 목적가. 4비트 동기 카운터를 설계하고 구현한다.나. 4비트 레지스터를 설계하고 구현한다.다. 3비트 시프트 레지스터를 설계하고 구현한다.2. 이론가. ... 따라서 이 회로는 비트수가 커질수록 여러 개의 AND 게이트를 통과하므로 최대 동작 주파수가 낮아진다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 예비 리포트
    조합논리 회로의 예(7-segmemt/Decoder 회로 설계)요약: 이번 보고서에서는 조합논리회로를 학습했다. 7-segment/Decoder 진리표를 완성했으며 진리표를 통해 Karnaugh과 ... 설계Decoder와 7-segment를 이용한 7-segment 구동 회로설계한다.결론: 이번 보고서에서는 7-segment/Decoder를 통해 조합논리회로를 학습했다. ... 그 후에 Decoder와 7-segment를 이용한 7-segment 구동 회로설계했다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.02
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:52 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대