• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,211)
  • 리포트(3,688)
  • 자기소개서(342)
  • 시험자료(103)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리회로 설계" 검색결과 181-200 / 4,211건

  • 논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments
    Lec #8. FND(Flexible Numeric Display) 제어- 7 segments -1. 실험 내용1) 7개의 조각으로 나뉘어진 LED에 입력신호에 따라 숫자나 간단한 기호 점등2) 제어 데이터에 따른 숫자 점등표시할 숫자Segment 제어 데이터(MSB ..
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 논리회로 실험 및 설계
    논리회로 실험 및 설계1. 설계 목적 : 논리회로 이론을 기초로 하여 자판기를 창의적인 방법으로 설계한다.2. ... 전체적인 회로는 모두 성공적이었고, 추가설계까지 완성했기 때문에 만족스러웠지만 직접 제작을 해 보지 못한 것과 설계시간이 너무 길었던 점이 아쉽다. ... 완성 회로도7. 자체 평가 :반환LED가 들어오는 부분과 초를 맞추기가 힘들어서 생각보다 설계시간이 오래 걸렸다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.10.15
  • [논리회로설계실험]1bit 비교기 와 4bit 비교기
    실험 내용 1) 1bit 비교기두 입력이 서로 같은지 또는 다른지를 비교하여 알려주는 회로로써 두 입력이 같으면 '1'을 출력하고, 다르면 '0'을 출력하는 회로 2) ... : Out std_logic ); end component; begin key : comp_1bit -- 지정된 회로에 ... signal input_b : std_logic; signal output_eq : std_logic ; component comp_1bit -- 이용할 회로
    리포트 | 5페이지 | 1,000원 | 등록일 2015.07.07
  • 논리회로실험17 동기식 카운터의 설계
    논리회로실험 결과 보고서실험. ... 설계회로와 그림 16-1의 회로를 비교해 보시오JbKbJaKa2) 이 실험에서 다룬 순차와 역순인 동기식 카운터를 설게하려고한다. 어떻게 해야 하는가? ... - 현재상태의 000 -> 100 의 순차를 100-> 000 으로 바꾸어 표를 그린후 동기식 카운터 설계 방법에 따라 위 실험에서와 마찬지로 다음상태표, 여기표, 카르노맵, 논리함수구현
    리포트 | 3페이지 | 1,000원 | 등록일 2012.09.08
  • 논리회로설계실험 비동기 카운터 설계
    비동기 카운터 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt_4 isport
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 01 논리회로설계실험 예비보고서(And,or gate)
    논리회로설계 실험 예비보고서 #1실험 1. 기본게이트 설계1. ... 회로의 입, 출력간의 관계를 기술하며, 회로의 내부, 물리적 구현에 대한 상세한 사항은 기술하지 않는다.설계자는 빠른 설계와 검증이 가능하고 합성도구를 통해 설계 최적화, 물리적 구현기술로 ... 회로설계가 불편하며, 동작이해가 어려워 큰 규모의 회로설계 시 어려움이 따른다.(4) AND, NAND, OR, NOR, XOR 게이트 조사1.
    리포트 | 8페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 04 논리회로설계실험 예비보고서(인코더,디코더)
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 엔코더 설계1. ... 예를 들어 10진수나 8진수를 입력으로 받아들여 2진수나 BCD와 같은 코드로 변환해 주는 조합논리회로이다. ... 실험 목표VHDL 코드를 이용해 Decoder, Encoder 비교기를 설계하는 방법을 익힌다.두 비교기는 Behavioral 표현 방식과 Data Flow 표현 방식으로 설계 한다
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 논리회로 설계실험 mux
    Multiplexer 설계1.
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • 01 논리회로설계실험 결과보고서(And, or gate)
    논리회로설계 실험 결과보고서 #1실험 1. 기본게이트 설계1. ... 논리회로 설계 시에는 동작적 모델링과 자료 흐름 모델링 2가지 방법을 이용하여 설계한다. ... 고찰생소한 VHDL을 이용하여 AND, OR 게이트를 설계 해보고, 주어진 진리표에 맞는 논리회로설계하였다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 실험1 실험(1) 프로젝트 디지털논리회로 도어락 설계
    PROJECT 개요한 학기동안 디지털논리회로 과목에서 배운 전반적인 이론들을(기본논리게이트, 카운터 jk플립플롭, d플립플롭 등을 )을 사용하여 실험했던 관련 이론들을 복합적으로 사용하여 ... 고 찰1학기 동안 디지털논리회로 수업에서 습득한 이론으로 실험수업을 하면서 사용한 관련 이론과 지식을 이용하여 제작하는 프로젝트였다. ... 논리식으로 표현하면 논리곱이라고도 한다.
    리포트 | 12페이지 | 2,000원 | 등록일 2014.09.21
  • 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (결과) 설계실습8-(논리함수와 게이트)
    이번 실험은 전반적으로 누구나 쉽게 알고 있는 디지털 회로의 기본이 되는 논리함수와 게이트의 실험이라 이 전의 실험들에 비해 비교적 쉽게 진행되었다. ... AND, OR, NAND, NOR 등 논리함수와 그에 상응하는 전압 값을 측정하여 확인하는 실험이라서 큰 어려움이 없는 실험이었지만, 실험 초기 기계 오작동이 생겨 실험이 잘못 될
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.17
  • 논리회로-디지털시계 설계 텀프로젝트 발표자료
    (시간 조절 회로) ... implementation..PAGE:12Step 7 : implementation▲ 오전 오후 표시부▲ 시간 표시부..PAGE:13Step 7 : implementation▲ 단발 펄스 회로
    리포트 | 15페이지 | 1,000원 | 등록일 2013.12.22
  • 04 논리회로설계실험 결과보고서(인코더,디코더)
    논리회로설계 실험 결과보고서 #4실험 4. 디코더 인코더 설계1. ... 실험 목표디코더 인코더에 대해 이해하고 VDHL을 이용해 설계해본다.이 때, 디코더 설계시에는 동적적 표현(if문)과 자료흐름적 표현(when ~ else 문)을 사용하고 인코더 설계 ... 설계하려는 시스템에 따라 각 표현 방식을 선택하고 표현 방식 내에서도 여러 가지 방법 중 적절히 취사선택하여 설계 하면 될 것이다,
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • [논리회로설계실험]반가산기와 전가산기 설계(Half Adder and Full Adder 설계 보고서)
    반가산기와 전가산기의 차이점인 자릿수를 입력하고 출력 할 수 있는 변수의 차이였는데 이를 이해하니 구현하는 것 자체는 어렵지 않았다. 첫 번째 실험이었던 OR-Gate를 만들면서 수많은 시행착오를 겪었는데 그때 터득한 Port map을 이용한 Entity를 다른 E..
    리포트 | 10페이지 | 1,500원 | 등록일 2015.07.06
  • 03 논리회로설계실험 결과보고서(병렬가산기)
    논리회로설계 실험 결과보고서 #3실험 1. 정류회로1. ... 매우 간단하게 설계가 되었는데,SUM ... 병렬 가산기를 schematic & 모듈화 방식을 사용하여 설계하였다.
    리포트 | 5페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (예비) 설계실습8-(논리함수와 게이트)
    설계하라.디코더(decoder): n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 논리 회로. ... (3) Vcc를 5V(논리값 1)에서 0V(논리값 0)로 단계적으로 변화시켜서 NAND 게이트가 동작하는 최소 정격 전압을 구하는 설계 방법을 생각하고, 그 단계적 방법을 구체적으로 ... 순간이 더 이상 NAND가 low로 인식하지 않는 기준전압이 된다.)(4) 게이트를 사용하여 만든 2X4 디코더의 기능에 대해 설명하고 그 정의에 따라 진리표를 만들고, 2X4 회로도를
    리포트 | 6페이지 | 1,000원 | 등록일 2017.12.17
  • [디지털논리회로] 커피자동판매기 설계
    ) 상태표 (State table) 간소화 ( 카르노맵 이용 ) 회로 설계 시뮬레이션 Case 1. 250 원에서 50 원이 입력되었을 때 Case 2. 250 원에서 100 원이 ... 설계 프로젝트 결과보고서 2011 년도 1 학기 커피 자동판매기 설계목 차 설계 사양 변수 결정 ( 입력 , 출력 , 상태 ) Flip-Flop 의 결정 상태도 (State diagram ... X X X 0 0 X 0 1 X 0 0 wx yHF 00 01 10 11 000 001 011 010 110 111 101 100 C = wH + wyF D = wyH - 12 -회로구성
    리포트 | 25페이지 | 2,000원 | 등록일 2011.06.29
  • 디지털 논리회로 VHDL 코딩 과제 4bit full adder 설계
    2-to-1 MUX를 사용하여 표현할 수 있었다.따라서 S=X[Y(CIN’)+Y’(CIN)]+X’[Y(CIN)+Y’(CIN’)]으로 표현되고 총 3개의 MUX를 이용하면 간단히 회로를 ... 있다.처음에는 단순히 XOR를 AND와 OR로 구성할 수 있기에, 쉬운 문제라고 생각하였다.하지만 2개의 XOR를 AND와 OR로 바꾸려니 너무 많은 게이트가 사용되었고, 보기에도 복잡한 회로
    리포트 | 16페이지 | 2,000원 | 등록일 2014.10.13 | 수정일 2015.12.07
  • 아주대 논리회로 프로젝트1 이름학번 출력 VHDL 설계
    논리회로 V H D L 프로젝트 과제목 차1. 프로젝트 문제 (설계 조건)2. 프로젝트 문제 분석 (설계 예상 방향)3. 소스 코드4. Testbench 코드5. ... XST로 합성한 RTL 회로7. 고찰8. 추가적인 설계 Ⅰ: 다른 방식의 설계 (입력이 ASCII CODE)9. ... 그리고 Xilinx 프로그램의 기능 중 synthesize - XST 기능을 이용하여 내가 코딩한 파일을 실제 회로로 합성하여 볼 것이다.
    리포트 | 18페이지 | 4,500원 | 등록일 2014.10.04 | 수정일 2018.12.02
  • [논리회로] 디지털시계 설계 텀프로젝트 제안서
    Digital Logic Term Project Proposal수강번호132411 조■ Title : 24시간 표현 디지털시계■ Objective24시간이 표현되는 디지털시계를 논리회로 ... ▶Example : Clock System■ Job assignment▶공동작업아이디어 제안, 회로 시뮬레이션, 제안서 제작▶개인작업- 아이디어 제안- 불참- 회로 시뮬레이션, 보고서 ... 작성- 회로 시뮬레이션, 보고서 작성- 회로 시뮬레이션, PPT 발표■ ScheduleDateContents11/25(금)아이디어 제안 종합11/26(토)아이디어 선정 및 구체화
    리포트 | 2페이지 | 1,000원 | 등록일 2013.02.06
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대