• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,211)
  • 리포트(3,688)
  • 자기소개서(342)
  • 시험자료(103)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리회로 설계" 검색결과 161-180 / 4,211건

  • 09 논리회로설계실험 결과보고서(fsm)
    논리회로설계 실험 결과보고서 #9실험 9. FSM1. 실험 목표무어머신의 개념을 이용해 주어진 동작에 맞게 작동하는 자판기를 설계한다.2. 실험 결과실험 1. ... 스위치를 길게 누르더라도 한 클럭만큼의 신호만 발생시키는 회로이다. ... 값은 클락상승 때마다 1비트씩 이동하는데 clean_output 결과는 D플립플롭 비트들의 or 값이므로 일정한 입력값을 가질 수 있게된다.두 번째 프로세스 문에서는 스텝 클록 발생회로
    리포트 | 7페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 08 논리회로설계실험 예비보고서(카운터)
    논리회로설계 실험 예비보고서 #8실험 8. 카운터 설계1. 실험 목표카운터의 개념과 종류에 대해 학습하고, VHDL을 이용하여 각 카운터를 설계한다.2. ... 1이 발생하므로 카운터 값을 디코딩하지 않아도 10진 변환이 가능하다.(3) 존슨카운터존슨카운터는 동일한 수의 플립플롭을 가지고 링카운터의 2배의 출력을 나타내기 위해 사용되는 회로이다 ... 설계(1) VHDL 코딩
    리포트 | 9페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 07 논리회로설계실험 결과보고서(RoV)
    논리회로설계 실험 결과보고서 #7실험 7. RoV Lab7000 사용법1. ... 실험 목표RoV-Lab7000이 목표에 맞게 동작하도록 VHDL을 이용하여 설계한다.led와 7 segment로 원하는 결과를 나타내게 한다. RoV-Lab70002. ... *********100111111010000111110110000111110000000111101000000111100000000111100000000 스위치 진리표 핀할당(2) 설계
    리포트 | 8페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 08 논리회로설계실험 결과보고서(카운터)
    논리회로설계 실험 결과보고서 #8실험 8. 카운터 설계1. ... 존슨카운터를 응용하여 설계하였다.실험의 목표는 클락 주파수가 2Hz인 회로이다. ... 실험 결과실험 1. 8비트 비동기식 업카운터 설계(1) 설계 내용1) 소스 코드2) 핀할당3) 7segment 표시결과4) 결과 분석이번 실험을 하기 전 작성했던 예비보고서에서 설계했던
    리포트 | 5페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • [VHDL][논리회로] 자판기 설계(3가지,반환)
    [VHDL][논리회로] 자판기 설계(3가지,반환)A+받은 설계 입니다실습 최종 과제 였고 최선을 다해서 만들고교수님한테도 칭찬 받은 설계입니다.코인 반환과 잘못된 코인 입력시 반환기능도
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2018.05.17
  • 논리회로설계실험 프로젝트 7 segment 스탑워치
    논리회로설계 설계 보고서 #21. ... 설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로설계한다.7segment에 표시되는 스탑워치를 설계한다.스탑워치는 분, 초, 1/100초를 ... debouncing 회로● clock => stopwatch 몸체2) 핀 할당(2) 설계 방법1) Stopwatch스탑워치 코드의 작동방식은 다음과 같다.
    리포트 | 15페이지 | 3,000원 | 등록일 2015.04.17 | 수정일 2016.03.26
  • [아날로그및디지털회로설계실습A+] 논리함수와 게이트 결과 레포트 입니다
    아날로그 및 디지털 설계 실습8# 논리함수와 게이트결과 레포트설계실습 8. 논리함수와 게이트1. 목적 : 여러 종류의 게이트의 기능을 측정을 통하여 실험적으로 이해한다.2. ... 따라 설계실습계획서에서 설계회로가 실제 구현되었을 때에도 설계사양을 만족하는가? ... 그렇지 않았다면 그 이유를 분석하라.설계실습계획서에서 설계회로의 구성이 적절하여 만족스런 결과를 얻을 수 있었다.(3) 설계실습이 잘 되었다고 생각하는가?
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.06
  • 논리회로설계, 7segment verilog 설계
    논리회로설계7segment verilog 설계입니다.발표자료(ppt)와 verilog .v 파일이 폴더 안에 있습니다. verilog를 이용하여 7segment 회로설계를 할 때
    리포트 | 9페이지 | 1,500원 | 등록일 2012.01.12
  • 논리설계회로실험
    실험제목: 비교기(5장 결과 보고서)1. 예비조사 및 실험 내용의 이해 1.1 비교기란? - 비교기는 입력되는 두 수 A, B의 크기를 비교하여 어느 수가 큰지(또는 같은지)를 출력으로 나타내주는 조합회로이다. - 12345와 12678를 비교하는 방법은..
    리포트 | 8페이지 | 1,000원 | 등록일 2009.04.01
  • 논리회로설계실습-비교기-MUX-ALU-예비보고서
    논리회로설계 실험 예비보고서 #5실험 5. 조합 회로 설계-비교기_MUX_ALU실험 목표비교기와 MUX, DEMUX 그리고 ALU의 작동에 대하여 이해한다. ... 디멀티플렉서의 구성도와 진리표는 다음과 같다.산술논리연산장치(ALU: Arithmetic logic unit)산술논리연산장치는 산술연산, 논리연산 및 시프트(shift)를 수행하는 ... Process문을 사용하여 동작적 모델링으로 설계해 보았다.먼저, 4비트 입력 A와 B 그리고 3비트 입력 S를 입력으로 설정 하였다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로설계실습-비교기-MUX-ALU-결과보고서
    논리회로설계 실험 결과보고서 #5실험 5. 조합회로 설계 - 비교기, MUX, ALU1. ... 실험 목표4비트 크기의 이진수 A, B와 2비트 크기의 선택 신호 S를 입력으로 받아 5비트 이진수 Y를 출력하는 산술논리연산장치(ALU)의 동작을 이해하고 설계한다. ... 고찰(1) 조원1의 고찰주어진 산술논리연산장치(이하 ALU)를 가산기능에서는 함수를, 감산기능에서는 프로시져를 사용한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • [아날로그및디지털회로설계실습A+] 논리함수와 게이트 예비 레포트 입니다
    아날로그 및 디지털 설계 실습8# 논리함수와 게이트예비 레포트설계실습 8. 논리함수와 게이트1. 목적 : 여러 종류의 게이트의 기능을 측정을 통하여 실험적으로 이해한다.2. ... 게이트로 XNOR의 회로도를 설계하라. ... 설계 실습 계획서(1) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를 그리고, XNOR의 진리표를 사용하여 AND, OR, NOT
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.06
  • 05 논리회로설계실험 예비보고서(조합회로)
    논리회로설계 실험 예비보고서 #5실험 5. 조합회로 설계1. ... 두 숫자의 산술연산, 논리연산을 계산하는 디지털 회로이다.대부분의 ALU는 다음의 연산을 수행할 수 있다.정수형 산술 연산 (덧셈,뺄셈, 곱셈, 나눗셈)비트 논리 연산 (AND, NOT ... 예비 이론(1) 비교기두 이진수의 크기를 비교하는 조합 논리회로로 비교를 통해서 생성되는 결과는 AB, A=B 가 있다. 비교기를 N개 사용하여 N비트 비교기를 만들 수 있다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 05 논리회로설계실험 결과보고서(조합회로)
    논리회로설계 실험 결과보고서 #5실험 5. 조합회로 설계1. ... 고찰ALU를 설계해 보았다. ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리 동작을 하는 디지털 회로이다. ... ) 테스트벤치3) Wave Form4) 결과 분석ALU를 함수와 프로시저를 사용하여 설계하였다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • [VHDL][논리회로] Full adder 설계(xor과 and or not)
    [VHDL][논리회로] Full adder 설계A+받은 설계 입니다xor과 and or not 2가지로 설계
    리포트 | 1,000원 | 등록일 2014.11.15
  • 디지털논리회로 FSM 설계 유료 빨래방 구동회로
    DIGITAL LOGIC CIRCUIT _ 디지털논리회로디지털논리회로유료 빨래방 세탁기구동회로 FSM 설계디지털논리회로(#1,Project1)제출날짜담당교수강성호 교수님조원1.Title유료 ... 빨래방 세탁기 구동회로 FSM 설계수업시간에 배운 Finite State Machine(FSM)을 통해 유료 세탁기의 동작을 컨트롤 할 수 있는 회로설계하시오.2.Specification1 ... 회로의 복잡도를 줄이기 위해 스스로 가정을 세우고, 이를 바탕으로 설계할 수 있다.이는 전체 동작의 타당성을 해치지 않는 범위 내에서 가능하다.2.
    리포트 | 13페이지 | 3,000원 | 등록일 2013.10.28
  • 논리회로실험 - 제 8장 VHDL의 순차 논리 회로 설계에서 Finite state machine을 설계 결과보고서
    IntroductionVHDL의 순차 논리 회로 설계에서 Finite state machine을 설계한다. ... Design(1)어떠한 회로설계할 것인가 1)1)FSMFSM이란 Finite state machine의 약자로 일정한 천이 가능한 상태 내에서만 동작하는 순차 논리 회로이다. ... 과 목 : 논리회로설계과 제 명 : 결과보고서 8담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 291.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    있어서 Latch는 하나 이상의 비트를 저장하기 위한 디지털 논리회로를 말한다. ... 않다가 카운팅을 멈추면 비로소 1의 값을 가지게 되어 그 때 reset 버튼을 누르면 둘 다 1의 값을 가지게 되어 clear 단자가 작동한다.3) 래치 (D Latch): 디지털 논리회로에 ... 설계 목적- FPGA를 이용하여 Stop Watch를 구현해보는 것이다.
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • BCD-7세그먼트 디코더 논리회로 설계보고서
    설계준비보고서 2009069160 김기훈? 설계 제목 - BCD-7세그먼트 디코더 논리회로 ? ... 설계 목표-7세그먼트에 표시기라는 소자 및 BCD 코드에 대한 이해- 이론을 바탕으로 BCD-7세그먼트 논리회로를 구성배경이론7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 ... 이용한 실제 회로 설계2입력 AND와 OR게이트와 NOT 게이트만으로 이루어진 회로 설계※ 만들다 보니 좀 많이 복잡하게 되었습니다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 논리회로 설계실험 memory 설계
    Memory 설계1. ... port와 write enable port가 동기로 동작하고 특히 write enable 값에 따라 메모리를 읽기, 쓰기모드로 동작시킬 수 있도록 설계한다.? ... Problem Statement① Describe what is the problem.Purpose: 메모리를 설계하려면 가장 먼저 데이터를 저장할 수 있는 공간을 만들어야 하는데,
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대