• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,211)
  • 리포트(3,688)
  • 자기소개서(342)
  • 시험자료(103)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리회로 설계" 검색결과 121-140 / 4,211건

  • 논리회로설계 - 전자자물쇠 구현
    Chattering 현상전자 회로 내의 스위치에 접점이 붙거나 떨어질 때 기계적인 진동에 의해 실제로는 매우 짧은 시간 안에 접점이 붙었다가 떨어지는 것을 반복하는 현상.
    리포트 | 14페이지 | 3,000원 | 등록일 2016.06.25 | 수정일 2016.06.27
  • 논리회로설계실습-FSM-예비보고서
    논리회로설계 실험 예비보고서 #9실험 9. 순차회로 설계 - FSM실험 목표FSM의 두 종류인 밀리 머신과 무어 머신에 대하여 이해하고 VHDL에서의 사용법을 이해한다. ... FSM을 디지털 하드웨어로 설계하는 경우에는 state 변수를 기억하는 레지스터 블록과 state 변수의 천이를 표현하는 함수와 출력 값을 결정하는 함수를 위한 조합 논리 회로의 영역으로 ... 수 있어 가장 많이 사용된다.GlitchGlitch는 시스템에서의 일시적 오류를 일컫는 말이며 논리 회로가 타이밍에서 벗어나는 것 등으로 본래 필요 없는 부분에 발생하는 펄스로서
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로설계실험 프로젝트 라인트레이서
    논리회로설계 프로젝트 설계 보고서1. ... 설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로설계한다.line tracer 가 적외선 센서를 이용해 흰 줄을 따라 이동하도록 하는 것이 ... 설계의 목표이다.2.
    리포트 | 13페이지 | 2,000원 | 등록일 2015.04.17
  • vhdl 기본적인 논리회로 설계
    ·VHDL 설계 실습 결과보고서VHDL Lab_01일시2013-9-24전공실습시간학번이름제목기본적인 디지털 논리회로설계실습 목적디지털 논리회로는 schematic과 같이 그래픽으로 ... 본 실습에서는 기본 논리 게이트로 구성된 회로를 schematic과 VHDL로 각각 설계하여 시뮬레이션하고 DigComV32에 다운로드하는 과정을 실습함으로써 논리회로 설계 과정과 ... 설계하거나 VHDL과 같이 택스트로 프로그래밍하여 설계할 수이 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.10.30
  • 논리회로설계실험 반가산기전가산기설계 결과보고서
    논리회로설계 실험 결과보고서 #2실험 2. 조합 회로 설계-전가산기실험목표전가산기의 동작을 이해하고 진리표를 작성해 본다. ... 또한 Schematic design을 이용하여 전가산기의 논리회로를 구성해 본다. ... **동작적 모델링 소스 코드자료 흐름 모델링 소스 코드구조적 모델링 소스코드테스트 벤치 코드Schematic DesignWave Form고찰A6_주상욱이번 실험에서 설계할 전가산기의
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로설계실험 반가산기 전가산기설계 예비보고서
    논리회로설계 실험 예비보고서 #2실험 2. 조합 회로 설계-반가산기실험 목표반가산기의 작동을 이해하고 진리표를 작성하여 논리식을 구하여 본다. ... 반가산기를 Behavioral modeling, Dataflow modeling, Structural modeling 방식으로 코딩하여 시뮬레이션 결과를 확인한다.반가산기진리표논리식과 논리회로논리논리회로소스코드동작적 ... _method=view&MAS_IDX=150825001511795>“02_조합회로+설계+-+반가산기+_+전가산기”, PDF, Retrieved march 19, 2017, from고찰반가산기는
    리포트 | 7페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로실험 설계 보고서
    VHDL은 동기식뿐 아니라 비 동기식 순차 회로 구조도 처리한다.5. 한 설계에 대한 논리 연산 및 타이밍 동작은 시뮬레이션 될 수 있다.2. ... 1 논리회로실험설계과제·REPORT전자공학도의 윤리 강령 (IEEE Code of Ethics)`(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 ... 이형은 0과 1 뿐만 아니라 실제 논리 회로에서 논리 신호를 시뮬레이션하는 데 유용하다고 알려진 7개의 다른 값들도 포함한다.std_logic_vector전형적인 VHDL 프로그램에서
    리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 논리회로 자판기설계
    회로도3.설계결과4. ... TROUBLE SHOOTING-시뮬레이션 결과와 실제로 구성한 회로의 결과 값 상이그래서 꾸준한 회로 결선으로 결과값 나오게 함-채터링 현상으로 버튼을 한번만 눌러도 두 번 세 번 ... 설계목표- IC소자를 이용한 자판기 시스템을 설계한다.- 설계 작품은 다음과 같은 기능을 갖는다.설 계 내 용① 돈 투입 버튼 부의 100원, 500원 버튼을 통하여 원하는 금액을
    리포트 | 5페이지 | 1,500원 | 등록일 2012.08.29
  • 논리회로설계실험 ALUkit (결과보고서)
    Conclusion이번 실험은 이전에 설계하였던 ALU회로를 사용하여 키트에 직접 적용시키는 회로였다. ... -Describe how you solved먼저 ALU의 경우는 이전 실험에서 설계하였던 회로를 약간 변경하여 사용을 하였다. ... 이것은 산술연산과 논리연산을 하는 유닛이다.외국어 표기Arithmetic and Logic Unit(영어)ALU arithmetic and logic unit 산술 논리 연산 장치(
    리포트 | 20페이지 | 1,000원 | 등록일 2015.08.25
  • 디지털 논리 TFF 회로 설계
    소개글스위치 레벨로 구현된 비동기 제어 입력 신호 t을 갖는 tff회로 설계 및 검증논리회로아래와 같은 진리표를 갖는 tffT clk Q1 rising 토글(Toggle,현재상태를
    리포트 | 5페이지 | 5,000원 | 등록일 2012.08.11
  • 조합논리회로설계
    디지털 논리 회로 2학년 1학기 4. 조합 논리 회로 1. 조합 논리 회로설계 ( / )시스템을 분석하고 진리표를 작성할 수 있다. ... 설계는 진리표를 가지고 디지털 회로를 구성하는 것이다.111101110000BA출력입력진리표디지털 회로조합 논리 회로설계 순서설계 하고자 하는 시스템의 분석과 변수 정의입∙출력 ... 조합 논리 회로설계 순서시스템의 분석과 변수 정의조합 논리 회로설계하기 위하여 입력 변수의 수와 출력 변수의 수를 정하고 각각에 적당한 변수를 할당하는 것(a)기본 회로도(b
    리포트 | 20페이지 | 1,500원 | 등록일 2010.11.20
  • 06 논리회로설계실험 결과보고서(순차회로)
    논리회로설계 실험 결과보고서 #6실험 6. 순차회로 설계1. ... 고찰이 전까지 설계했던 조합회로가 아닌, 순차회로설계하는 시간을 가졌다. 순차회로는 조합회로와 달리 클락을 갖게되며, 클락에 동기되어 출력값을 갖게 된다. ... D FF 8개를 가지는 병렬 레지스터 스케메틱 설계1) 스케메틱 설계2) 테스트 벤치3) Wave Form5) 결과 분석schematic 설계에서 이미 존재하는 D 플립플롭을 8개를
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 아주대학교 논리회로 실험 설계 예비보고서
    논리회로 실험설계설계주제:스톱워치목차1. 설계 목표2. 동작 조건3. ... part(4) Segment output control part(5) Switch part(6) 총 설계 회로5. ... 설계 목표- FPGA를 사용하여 자유 주제로서 스톱워치를 설계한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2016.06.14
  • 06 논리회로설계실험 예비보고서(순차회로)
    논리회로설계 실험 예비보고서 #6실험 6. 순차회로 설계1. 실험 목표래치와 플립플롭에 대해 이해한다. ... 기억 및 귀환 요소가 있어 플립플롭과 유사하지만 clock 입력이 없어 비동기식 순서논리회로이다.종류는 S-R래치와 D래치가 있다.- SR 래치S(set) 및 R(reset)으로 된 ... 각 각 어떤 종류의 래치와 플립플롭이 있는지 알아본다.JK 플립플롭을 VHDL을 이용해 설계해본다.레지스터에 대해 이해하고 VHDL을 이용해 시프트 레지스터를 설계해본다.2.
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    Part별 설계 회로 분석[Switch Part] : Start/Stop 버튼오른쪽의 회로에서 각각의 두 버튼에 JK플립플롭을 사용하였다. ... 이론적으로 설계를 한 이 회로에 문제가 있는지는 컴파일을 통해서 확인을 할 수 있었다. ... [설계 Project. Up/Down Counter 설계]? 실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • [VHDL][논리회로] 시계설계(서브모듈이용)
    [VHDL][논리회로] 시계설계(서브모듈이용)A+받은 설계 입니다플래그도 이용
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2021.06.09
  • 09-논리회로설계실험-예비보고서
    과 목 : 논리회로설계실험과 제 명 : #9 순차회로 설계_카운터 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : ... A반 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 29논리회로설계 실험 예비보고서 #9실험 9. ... 『VHDL을 이용한 디지털 시스템 설계』 CENGAGE, 2008, ‘VHDL 모듈’- 11_순차회로+설계_+카운터 PPT- http://www.allaboutcircuits.com
    리포트 | 8페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 아주대학교 논리회로실험 설계 에비보고서
    Part별 설계 회로 분석[Switch Part] : Start/Stop 버튼오른쪽의 회로에서 각각의 두 버튼에 JK플립플롭을 사용하였다. ... 하지만 설계시 제한점으로, 오직 7개의 line밖에 사용할 수 없음을 유념해야 한다. 여기서는 74151 MUX를 이용해 보기에 간단한 회로를 구성하기로 하였다. ... [설계 Project. Up/Down Counter 설계]? 실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1.
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • 01-논리회로설계실험-예비보고서
    4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 3. 11논리회로설계 실험 예비보고서 #1실험 1. ... 과 목 : 논리회로설계실험과 제 명 : #1 기본게이트 설계 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 ... 따라서 동작적 모델링으로만 회로설계하면 회로의 효율성이 떨어지는 일이 발생할 수 있을 것이다.- 자료 흐름 모델링 : 상위 추상레벨을 갖는 동작적 모델링과 하위 추상레벨을 갖는
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 02 논리회로설계실험 예비보고서
    논리회로설계 실험 예비보고서 #2실험 2. 반가산기와 전가산기 설계1. ... 실험 목표VHDL을 이용하여 반가산기와 전가산기를 동작적 모델링과 자료 흐름 모델링으로 설계한다.그리고, 각 가산기의 논리회로를 그려본다.2. ... 구해주는 덧셈 회로로서, 컴퓨터 내부에서 가장 기본적인 계산을 수행하는 회로이다.1bit의 2진수 2개를 연산할 때, 입력 변수의 내용은 1과 0만 존재 할 수 있으므로, 2변수에서
    리포트 | 6페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대