• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(856)
  • 자기소개서(125)
  • 시험자료(3)
  • 논문(1)
  • 이력서(1)

"VHDL설계" 검색결과 281-300 / 986건

  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    퀴즈 2번의 결과를 VHDL설계하고 FPGA로 구현하여 동작 확인VHDL 코드 및 FPGA를 통한 구현 결과는 아래와 같다. ... [표 4]의 priority encoder를 VHDL설계하고 FPGA로 구현하여 동작 확인VHDL 코드 및 FPGA를 통한 구현 결과는 아래와 같다. ... 또한 VHDL로 priority encoder를 설계했을 때 개인 노트북에서는 컴파일 오류가 떴지만 같은 VHDL 코드로 실험실의 컴퓨터에서 컴파일 했을 때는 정상적으로 진행되었다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서울시립대 전자전기설계2(전전설2) 3주차 사전보고서
    2019년 전자전기컴퓨터설계실험23주차 사전보고서1. ... 기반으로 하는 언어, 대소문자를 구분하지 않음, 현장 설계 기능 게이트 어레이 및 집적회로와 같은 디지털 및 혼합 신호 시스템을 설명하기 위해 전자 설계 자동화에 사용되는 언어, ... -요약 : Verilog가 VHDL보다 문법적으로 자유롭고, 쉬우나, 복잡한 작업에서는 VHDL이 더 유리함.2.
    리포트 | 8페이지 | 1,500원 | 등록일 2019.10.13
  • [논리회로 실험] 디멀티플렉서 verilog 설계
    따라서 이번 실습에서는 디멀티플렉서의 동작을 이해하고, Verilog 또는 VHDL이 회로로 합성되는 과정을 이해한다.실습 내용실습결과논리식과Schematic설계디멀티플렉서 진리표제어변수출력S1S0Y0Y1Y2Y300I000010I001000I011000IY0 ... 이것은 Verilog나 VHDL이 합성되는 과정에서 그 전의 값을 유지하기 위해 래치를 포함시키기 때문이다. ... 제목디멀티플렉서 설계실습 목적디멀티플렉서는 하나의 입력을 여러 개의 출력 중 하나로 전송한다. 4x1 디멀티플렉서는 하나의 입력을 4개의 출력 중 하나로 전송하며, 선택된 출력에 입력을
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.24
  • A+학점인증 디지털시스템설계 과제1 보고서 Structural and Behavioral Designs(코드, 설명 포함)
    목표 - 아래에 주어진 논리 회로에 대해 VHDL프로그램을 이용하여 Structural program형식과 Behavioral program형식을 설계하고 test bench를 이용하여 ... 그리고 나머지 6개는 구조적으로 설계했을 때, gate와 gate를 잇는 signal이다. Input은 2진수 3bit 값을 입력하였다. ... Structural code Simulation result =>Test bench 코드를 이용하여 설계한 Structural Code를 동작시켰을 때, 위와 같은 결과가
    리포트 | 7페이지 | 3,000원 | 등록일 2021.04.07
  • 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    Verilog HDL과 VHDL의 장단점을 조사하시오.VHDL은 Top-down(하향식)방식의 디자인이 가능해 설계 기간을 단축시킬 수 있고 놓치기 쉬운 error들을 초기에 검증하여 ... 하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다. ... 이에 반해 verilog HDL은 보다 언어가 유연하고 간결한 장점이 있어 VHDL에 비해 코드를 더 쉽게 작성할 수 있다.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 디지털 시스템 설계 및 실습 전감산기 설계
    전감산기 설계 과정을 통해 조합 논리회로를 Verilog 또는 VHDL설계하는 방법에 대해 공부한다. ... 또한 이 실습을 통해서는 if~else(Verilog) 또는 if~then~elsif~end if(VHDL) 형식을 배울 수 있다.2. ... 전감산기 설계1. 실습목적전감산기는 한 자리 이진수 뺄셈 시, 전가산기에서 더한 결과 캐리가 발생하는 것과 반대로 아랫자리에서 발생하는 빌림수를 고려해야한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.02
  • 디지털설계방법의 종류
    방식 설계 사양 회로도 기술 회로도 엔트리 레이아웃 설계 공정 제조 마스크 발생 시물레이션 시물레이션 LVS, DRS, ERC 를 이용한 검증 VHDL, Verilog, HDL 등 ... 셀 라이브러리 - VHDL 데이터 - 논리 심볼 회로도 - 시뮬레이션을 위한 타이밍 정보 표준셀 예 - 고밀도와 고성능을 휘나 최적화 셀 - 3.3V 인터페이스를 위한 I/O 셀 - ... 표준셀 설계방식 : 표준셀을 활용하는 설계방식임 .
    리포트 | 12페이지 | 3,000원 | 등록일 2022.08.10
  • 논리회로설계 실험 기본게이트 설계
    또한 전기전자 논리회로 교과목의 기초지식과 Xilinx tool을 사용하여 VHDL언어를 통해 기본적인 논리회로인 AND OR 게이트의 논리회로를 설계하고 진리표를 통하여 각 기본 ... VHDL의 병행문을 사용하여 주로 표현하며 표현상의 모든 문장들이 순차적으로 동작하는 것이 아니라 항상 동작하게 한다. ... 기술을 위해서 VHDL의 순차문이 Process문이 사용된다.2) 자료 흐름 모델링자료흐름 모델링은 자료의 흐름, 즉 , 신호 및 제어의 흐름을 나타낸다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    그에 반해 VHDL은 배우고, 프로그래밍 하기에 조금 더 어렵다.하지만 Verilog에는 라이브러리 관리 기능이 없다. ... 이러한 특징에 따라 한쪽에서의 장점은 한쪽의 단점이 된다.Verilog는 C를 기반으로 하여 일반적으로 VHDL에 비해 배우기 쉽다. ... 그에 비해 VHDL은 high-level modeling을 지원하는 더 많은 구성을 가질 수 있다는 장점이 있으며, 프로그래밍 되는 장치의 실제 작동을 반영한다.
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • FPGA구조와 ASIC 설계 방법 실험 레포트
    일반적인 하드웨어 기술 언어는 VHDL과 베릴로그가 있다. 전자 설계 자동화 도구를 사용하면 기술적으로 매핑된 넷리스트가 생성된다. ... 초기에 VHDL이나 Verilog (베릴로그)로 된 RTL 기술은 시스템을 시뮬레이션하고 결과를 관측하기 위해 생성된 테스트 벤치에 따라 시뮬레이션한다. ... 시스템베릴로그, 시스템VHDL, (셀록시카로부터) 헨델 C같은 언어들은 동일한 목적을 성취하려고 추구하였지만 생산된 현재의 하드웨어 공학은 더 생산적인것과 생산된 FPGA는 현재의
    리포트 | 4페이지 | 2,500원 | 등록일 2021.11.08
  • 우선순위인코더
    앞의 진리표중 하나를 이용하여 우선순위 인코더를 VHDL설계하시오. [ 단, if ~ end if 구문을 사용 ]library ieee;use ieee.std_logic_1164 ... [개인 시험과제][시험과제 01] 우선순위 인코더(Priority Encoder) 설계[수행 및 제출 (1)]?
    리포트 | 6페이지 | 2,500원 | 등록일 2021.12.15
  • VHDL-1-가산기,감산기
    두 가지 설계 모두 반가산기의 Truth table과 동일하고 알맞게 설계된 것을 알 수 있다.실습제목: 전가산기1. ... xor B, Carry = AB 이다.2.1 소스코드 설명(Schematic)카르노맵을 통해 나온 부울식인 Sum = A’B+AB’ = A xor B, Carry = AB를 그대로 설계한 ... AND 게이트에 각각 넣어서 출력 Sum, Carry를 구한다.입력출력XYXOR(inst)000011101110입력출력XYAND(inst1)0000101001112.1 소스코드 설명(VHDL
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    두개의 차이점은 Verilog는 전자 시스템을 모델링하는 데 사용되는 HDL이며 VHDL은 현장 설계 가능 게이트 어레이 및 집적 회로와 같은 디지털 및 혼합 신호 시스템을 설명하기 ... 배경 이론Verilog HDL과 VHDL의 장단점(1) HDL (Hardware Description Language)먼저 Verilog HDL과 VHDL을 포함하는 HDL에 대해서 ... 즉, HDL은 소프트웨어의 동작을 명령하는 소프트웨어 언어인 C, C++, JAVA과 하는 역할이 다르다.(2) Verilog HDL과 VHDLVerilog HDL과 VHDL는 모두
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    VHDL: 미 전기학회(IEEE) 표준 HDL, 엄격한 문법- 미국 국방성을 중심으로 1987년 표준화되었다. ... 2001년에 IEEE Std. 1364-2001로 개정되었다.- 새로운 SystemVerilog가 개발되어 ISEE 표준화를 추진중이다.- C와 비슷한 Syntax로, 문장 기술이 VHDL보다 ... Result(1) [실습 1] bit operators를 이용하여 2-input AND 게이트 설계Source codeTestbenchPin testbench 시뮬레이션 결과 설계
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • SoC 보고서 - 4.8051
    ROM에는 이미 작성된 hex파일을 넣어서 동작하도록 한다.8051 VHDL코드는 그림1-D-3에서 볼 수 있듯이 다수의 VHDL코드를 연동해서 작성한 것으로 상위 계층과 하위계층으로 ... RAM의 엔티티임.주소, 데이터, 클록, writ/read 신호를 입력 받고, q값을 출력으로 가짐.코드 2-B-2RAM 신호 및 컴포넌트RAM의VHDL 코드임. ... CPU/MCU를 직접 설계하지는 않지만, 신호 전달 방식, 주기, 동작 구성, 타이밍도 등을 알지 못하면 자신이 설계한 로직과 연결했을 때 예상치 못한 동작을 하거나 아예 동작을 하지
    리포트 | 30페이지 | 2,500원 | 등록일 2021.09.23
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    Binary decoder의 구현INPUTOUTPUTI1I0A(Y3)B(Y2)C(Y1)D(Y0)0*************0100111000[표 1][표 1]의 binary decoder를 설계한다 ... [그림 21][그림 22]4) 과정 4 : Priority encoder 의 동작 확인VHDL 코드는 다음과 같다. ... [그림 19][그림 20]3) 과정 3 : 7-segment decoder의 동작 확인VHDL 코드 및 시뮬레이션 결과는 다음과 같다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 논리회로실험 프로젝트 2, stop watch 설계
    논리회로설계실험 프로젝트 #2Stop watch 설계1. ... 일시정지가 가능한 Stop Watch를 설계한다. ... 설계 목표BCD, 7 segment, 카운터, Debouncing 등에 대해 조사해보고, 지금까지 학습해왔던 논리회로 설계기술을 이용하여, 분 : 초 : 1/100초를 나타내고 리셋기능과
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로실험) 7 segment 프로젝트 1 예비
    실험 목표디코더와 엔코더에 대해 알아보고 진리표를 설계해본 후, 3 X 8 디코더의 동작적 표현을 if문을 사용하여 설계하고 when ~ else문을 이용하여 자료 흐름 표현을 설계한다 ... 그러다가 저번 수업 때 VHDL에서는 elseif가 아닌 elsif라는 것을 기억해 내어 가까스로 해결을 할 수 있었다. ... 비록 VHDL은 C언어와 유사한 부분이 있어서 혼동이 갔고, 저번에 분명 배웠음에도 활용할 기회가 없어서 체감하지 못해 금방 잊었었던 것 같다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • VHDL 신호등 구현
    VHDL 신호등 구현목차개요 및 설계코드 구현결과 사진힘들었던 점개요 및 설계-목표 : FSM을 사용하여 신호등을 구현해야 한다. ... 목적은 신호등이므로 신호(현재 신호 상태)에 따른 동작이 구현되어야 한다.그러므로 무어 머신(Moore Machine)을 이용해 출력이 현재 상태에만 의존함을 이용한다.간단 설계 ... 신호등 1,3때 횡단보도에 녹색(go)이 들어온다.신호등 1,3가 녹색인 경우 스위치가 눌려있다면 다음 차례의 신호등 1,3이 녹색인 경우 보도에 녹색이 들어와야 한다(go 표시)-설계지금
    리포트 | 7페이지 | 2,000원 | 등록일 2021.11.10
  • [지방대/최종합격] 실리콘웍스 아날로그회로설계 자기소개서
    또한 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다. ... VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의를 통해 독학했으며, SoC 설계 및 프로그래밍 수업을 수강하며 C언어/Verilog로 Zynq 7000 기반의 두더지 잡기 ... 전자회로설계를 수강하며 OrCAD로 Op-amp 응용 회로들을 설계했습니다.
    자기소개서 | 3페이지 | 4,500원 | 등록일 2023.05.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대