• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(856)
  • 자기소개서(125)
  • 시험자료(3)
  • 논문(1)
  • 이력서(1)

"VHDL설계" 검색결과 221-240 / 986건

  • [정보이론] gf 곱셈기 나눗셈기 vhdl 설계
    곱셈/나눗셈기를 위한 하드웨어 설계(1) 곱셈기(2) 나눗셈기4. 곱셈/나눗셈기를 위한 VHDL 설계(1) 곱셈기(2) 역원 (3) 나눗셈기5. ... {VHDL 설계를 앞서 이해를 위해 아래와 같이 나타내 보았다.{< 곱셈기의 하드웨어 설계 >(2) 나눗셈기{beta를 GF(2m)의 임의의 원소라고 가정할 때 다항식 형태로 표현하면 ... 곱셈/나눗셈기를 위한 VHDL 설계(1) 곱셈기- 파일 명 : gf16mul.vhd{--GF(2^4) : Multiplier--p_4(x)=x^4+x+1library ieee;use
    리포트 | 12페이지 | 1,000원 | 등록일 2003.06.11
  • [컴퓨터구조 및 VHDL][Quartus 2,max,verilog]verilog_hdl을 이용한 Single_Cylce_Mips설계 및 분석..
    Verilog_HDL을 이용한 코딩중 Single_Cycle_MIPs에 관한 내용으로써ALU와 MUX등을 통합한 소스 코딩입니다.본문내용중..모든 모듈들을 통합하는 TOP module 입니다.//module top (clk, rst, module project (c..
    리포트 | 49페이지 | 2,500원 | 등록일 2005.10.05
  • [회로설계]VHDL로 구현한 LCD(시계표현) 및 세그먼트(알람시각)를 이용한 디지털 시계
    JDF E// Created by ISE ver 1.0PROJECT lcd_seg_watchDESIGN lcd_seg_watch NormalDEVKIT xcs10-3pc84DEVFAM spartanFLOW FPGA Express VHDLMODULE count25.vhd..
    리포트 | 1페이지 | 3,500원 | 등록일 2003.12.08
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    PurposeXilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder & subtracter를 설계해 본다. ... [1] Adder & Subtracter 설계학번 / 이름:1. ... 여기서 변수 M의 상태에 따라M:0 -> s=x+yM:1 -> s=x-y 가 된다.이를 적용해 4비트 가/감산기를 설계하면 다음 그림과 같다.설계한 4 bit 가/감산기를 Xilinx에
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    과제명VHDL을 이용한 8-bit ALU 설계 및 검증과제 목적1. VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. ... : V - Hardware Description Language상위의 동작 레벨에서부터 하위의 게이트 레벨까지 하드웨어를 기술하고 설계하도록 하는 언어로 초보자도 쉽게 회로 설계를 ... Simulation 되는 VHDL source code를 제출한다.5.
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • FSM 머신설계 베릴로그
    디지털시스템설계 #6 Report2018.6.13 제출블록도상태도상태표S0은 00, S1은 01, S2는 11로 설정.y값은 클럭에 상관없이 x1,x2 두 입력값으로 결정되고, 현재 ... File : fsm1.v// Generated : Thu Jun 7 13:03:31 2018// From : interface description file// By : Itf2Vhdl
    리포트 | 17페이지 | 2,500원 | 등록일 2021.04.09
  • BCD 가산기 설계 결과보고서
    할 때와 Verilog 또는 VHDL설계할 때의 장단점을 설명하라.Schematic ; 비트 수를 고정해서 설계해야 한다. ... 감산기로 동작할 경우 빼는 수의 2의 보수를 취해서 더해야 한다.Verilog, VHDL ; 가산회로는 부호를 고려하지 않아도 되지만, 감산회로는 부호를 고려해야 한다. ... 디지털시스템 설계 실습 7주차 결과보고서학과전자공학과학년3학번성명※BCD 가산기 설계1.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 부경대 전자공학과 디지털시스템설계 과제(수의 정렬)
    [과제 03] 수의 정렬 회로(Sorting Circuit)에 대한 설계[수행 및 제출(1)]수의 정렬회로를 VHDL설계하시오.library ieee;use ieee.std_logic
    리포트 | 10페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 논리회로설계실험_비교기,MUX,ALU 결과레포트
    다른 것들은 익숙하나 함수나 프로시저를 vhdl에서 구현해보는 것은 처음이었다. 이 때 가장 눈여겨 본 것은 variable의 사용이었다. ... 논리회로설계 실험 결과보고서 #3실험 3. 비교기_MUX_ALU1. 실험 목표비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. ... 실험 결과- 실습 1 1bit, n bit 비교기 설계동작적 모델링자료 흐름 모델링진리표에 따라 작성해보자.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 패리티검사기 설계 결과보고서
    ‘1’을 출력하는 패리티 검사기를 VHDL설계하라.핀 할당2. ... 설계된 패리티 검사기를 컴파일하고 시뮬레이션하라. ... 디지털시스템 설계 실습 9주차 결과보고서학과전자공학과학년3학번성명※패리티 검사기 설계1.짝수 패리티 비트가 포함된 8비트를 입력받아 오류가 없으면 ‘0’을 출력하고, 오류가 발생하면
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 기본로직 설계 및 시뮬레이션 검증 회로설계
    디자인을 실시하였습니다.회로도를 이용하는 방법과 VHDL을 이용하는 방법은 두가지가 있으며디자인 설계 후synthesis 합성 그리고VWF 에디터 창에서 파형을 입력 후 Funtional ... REPORT기본로직 설계 및 시뮬레이션 검증제출일2020전 공.과 목디지털회로설계학 번담당교수.이 름.목 차제 1장 서론1-1 1차 레포트의 필요성 및 목적1-2 레포트의 과정제 2장 ... 나오는지 확인하는 단계에서의도하는대로 동작한다면 다음 단계로 이동합니다.피팅단계pin planner에서 N25, N26 값을 입력Run Timing simulation 목적내가 설계
    리포트 | 32페이지 | 2,000원 | 등록일 2022.01.05
  • Mux&Decoder2차레포트 디지털회로설계
    설계하고 DE2 보드로 작동하기제 3장 요약 및 결론레포터의 목적(1) 1비트 2x1 Mux Schematic , VHDL(2) 2비트 2x1 Mux Schematic , VHDL ... REPORT기본로직 설계 및 시뮬레이션 검증제출일2020전 공전자공학과 목디지털회로설계학 번.담당교수.이 름.제 1장 서론1-1 1차 레포트의 필요성 및 목적1-2 오늘 실습내용의 ... DE2작동 사진은 역시 없다.제 3장 요약 및 결론이번에 처음으로 스위치 제어를 통해 무언가 결과가 나타나는 5가지 실습을 진행하였고 보드에 적용 시켜보았다.실습을하다 schematic 설계
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 디지털시스템설계실습 우선순위인코더 결과보고서
    표의 진리표를 이용해 우선순위 인코더를 Verillog 또는 VHDL설계하라. 이때if~else(Verillog) 또는 if~end if(VHDL) 형식을 사용한다.2. ... 디지털시스템 설계 실습 3주차 결과보고서학과전자공학과학년3학번성명※ 인코더 설계1. 우선순위 인코더는 입력에 우선순위를 주어 우선순위가 높은 입력만 인코딩하는 인코더이다. ... 설계된 우선순위 인코더를 컴파일 및 시뮬레이션하고 시뮬레이션 결과를 앞에서 작성한 진리표와 비교하라.연습문제1.
    리포트 | 3페이지 | 1,500원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 논리회로설계실험_디코더/엔코더 레포트
    실험 목표디코더와 엔코더의 원리를 이해하고 2x4 디코더와 4x2 엔코더를 응용하여, 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션을 ... 논리회로설계 실험 결과보고서 #4실험 4. 디코더, 엔코더1. ... 실험 결과- 실험 1.2x4 디코더를 설계하시오.1) 진리표InputOutputA _{1}A _{0}Y _{3}Y _{2}Y _{1}Y _{0}0*************0100111000Y
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • ALU 8bit 설계 베릴로그
    디지털시스템설계 #4 Report2018. 5. 17 제출mode값에 따라서 다양한 행위를 함. ... (그림 ㄱ,ㄴ참고) mode값에 상관없이 일단 모든 연산을 모두 수행하고 mode값에 따라 알맞은 값만 result값에 대입하는 기법으로 설계.그림 ㄱ.그림 ㄴ.8bit ALU 블록 ... File : alu.v// Generated : Thu May 10 13:52:59 2018// From : interface description file// By : Itf2Vhdl
    리포트 | 36페이지 | 2,500원 | 등록일 2021.04.09
  • A+학점인증 디지털시스템설계 과제2 보고서 Combinational Logics(코드, 설명 포함)
    목표 - 주어진 논리 회로에 대해 VHDL프로그램을 이용하여 설계하고 test bench를 이용하여 시뮬레이션을 하여 결과를 확인할 수 있다.1. ... Write a VHDL program of 74X381.2. ... Write a structural VHDL program of the blackbox.4.
    리포트 | 8페이지 | 3,000원 | 등록일 2021.04.07
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    실험 목표디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다 ... 논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. ... 신호인 BCD와 출력 신호인 7 segment에 대해서 진리표로 나타낸 후, 카르노맵을 사용하여 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더 논리회로를 설계
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    (전체 105초 동작 반복)사람 통행 30초 -> 점멸 10초 -> 차량 통행 60초 -> 황색등 5초case 문을 사용한 횡단보도 제어기의 VHDL 소스총 4가지의 state를 정의하였다 ... (아날로그 -> 디지털 컨버터) Controller 설계하기97ADC MODEL 설계하기111. ... 회로의 운용/동작 순서를 정의하여 효율적인 동작을 할 수 있도록 구성하는데 효과적인 설계 방식이다.Case 구문을 이용한 상태 머신 설계를 통해 상태천이를 정의하는 순차논리회로를 설계하는
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 디지털설계 실습보고서
    디지털 시스템 설계실습보고서 7실습보고서 71. ... 소스코드library IEEE; //VHDL에서 사용할 라이브러리를 지정하는 명령어use ieee.std_logic_1164.all; //ieee라는 라이브러리에서 ieee.std_logic
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:27 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대