• 통큰쿠폰이벤트-통합
  • 통합검색(399)
  • 리포트(397)
  • 시험자료(2)

"library ieee" 검색결과 21-40 / 399건

  • 디지털공학실험 09. UPDOWNCounter 결과
    < UP_DOWN Counter 순차회로 결과보고서 >VHDL 코드[ Counter VHDL Module Code ]library IEEE;use IEEE.STD_LOGIC_1164 ... functions with Signed or Unsigned values--use IEEE.NUMERIC_STD.ALL;-- Uncomment the following library ... .ALL;use ieee.std_logic_unsigned.all;-- Uncomment the following library declaration if using-- arithmetic
    리포트 | 5페이지 | 1,000원 | 등록일 2017.06.29
  • 디시설 - 패리티 발생기, 검사기 설계
    또한 이 방법과 for~loop를 사용하는 방법의 장점 및 단점을 설명하라.정답 :library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • 논리회로실험 프로젝트 도어락
    다이어그램5 소스 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all ... ;use ieee.numeric_std.all;entity door_lock isport ( clk : in std_logic;rst : in std_logic;ps_start :
    리포트 | 14페이지 | 1,000원 | 등록일 2018.11.18
  • 디지털 논리회로 111 detection
    library IEEE;use IEEE.STD_LOGIC_1164.ALL;-- Uncomment the following library declaration if using-- arithmetic ... functions with Signed or Unsigned values--use IEEE.NUMERIC_STD.ALL;-- Uncomment the following library ... --library UNISIM;--use UNISIM.VComponents.all;entity mealy is Port( m_clk : in STD_LOGIC
    리포트 | 9페이지 | 1,000원 | 등록일 2017.05.30
  • VHDL이란?
    위에서 선언한 Libraryieee로 설계자는 ieee 라이브러리의 std_logic_1164란 package를 사용하려고 하는 것이다. std_logic_1164 package에는 ... Library는 자동으로 선언되는 것이 아니기 때문에 그림에서 보이는 것처럼 Library declaration을 해줘야 한다. ... 즉 지금처럼 논리회로를 설계하고 구현할 수 있는 프로L이 개발되었다. 1987년 12월에는 세계 최초로 IEEE-1076이 IEEE표준 VHDL로 탄생하였고 1993년에는 IEEE-
    리포트 | 13페이지 | 1,000원 | 등록일 2017.12.01
  • 디지털공학실험 08. 신호등 결과
    < Traffic Light 결과보고서>VHDL 코드[VHDL Module Code]library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_unsigned.all
    리포트 | 6페이지 | 1,000원 | 등록일 2017.06.29
  • BCD가산기 설계
    ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use work.my_package.all;entity bcd isport ... range 0 to 2**adder_width-1;subtype result_value is integer range 0 to 2**result_width-1;end my_package;library
    리포트 | 3페이지 | 1,000원 | 등록일 2017.07.18
  • VLSI Project-보고서-택시미터기
    add3library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity add3 is port (input
    리포트 | 11페이지 | 2,000원 | 등록일 2018.11.18
  • 과제2
    필요한 이론 및 동작원리library IEEE;use IEEE.std_logic_1164.all; >>기본설정entity homework isport (in1, in2, in3, in4 ... 논리회로 프로젝트2전자공학도의 윤리 강령 (IEEE Code of Ethics)(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 인류의 삶에 끼치는 ... 동료애: 동료와 협력자가 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부:전자공학부제출일
    리포트 | 3페이지 | 1,000원 | 등록일 2012.06.25
  • 디지털공학실험 09. romramcounter 예비
    IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; 의 ... : AddrsData_inInput : AddrsOutput : Data_out(전에 해당 Addrs에 입력했던Data_in값 출력)코드우선 ROM, RAM, COUNTER 에 library
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.29
  • VHDL을 이용한 LED 제어 소스코드
    Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_arith.all;Use ieee.std_logic_unsigned.all
    리포트 | 3페이지 | 1,000원 | 등록일 2016.07.18
  • VHDL에 의한 논리 시스템 해석 및 설계
    이 Hardware로 만드는 Software가 Synthesis인데 각 FPGA나 ASIC Library에 맞게 Hardware회로(반도체 회로 : Logic Schematic)로 ... 또, 1987년 당시 IEEE 1076 VHDL제정에 참여한 EDA 공급사가 많지 않았기 때문에 대부분의 업체가 IEEE 1076 VHDL을 전부 지원하지 못하고 각 공급자의 환경에 ... 및 Data type을 IEEE Standard로 제정해 서로의 완전한 호환성을 꾀하도록 했다.하지만, 분명히 알아두어야 할 몇 가지 사항이 있다. 1987년에 제정된 IEEE 1076
    리포트 | 38페이지 | 5,000원 | 등록일 2017.12.30
  • 자동제어실험 결과 위치제어시스템
    REPORTIEEE Code of Ethics (출처: http://www.ieee.org)We, the members of the IEEE, in recognition of the ... co-workers in their professional development and to support them in following this code of ethics.위 IEEE ... 회로 구성 및 시뮬레이션① 기본적인 프로그램 설정② RB-35GM 21Type 1/50, Quanser Power Module UPM-1503 연결을 통한 회로구성③ 라이브러리(Library
    리포트 | 6페이지 | 1,000원 | 등록일 2017.11.29
  • 전자_디지털회로 곱셈기 설계과제
    회로도회로 코드시뮬레이션 결과library ieee;use ieee.std_logic_1164.all;entity multi11 isport( x1,x0,y1,y0 : in bit;
    리포트 | 9페이지 | 1,000원 | 등록일 2018.01.29 | 수정일 2019.10.26
  • 결과보고서 #12 - RoV Lab3000 실습
    스텝 모터 1상 여자 방식 구동(1) 소스코드library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_unsigned.ALL;entity
    리포트 | 7페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 결과보고서 #11 - RoV Lab3000 실습
    .- 실험 1.(1) 소스코드library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity A4_KYK_KYS_LED isPort ( sw : in STD_LOGIC_VECTOR
    리포트 | 8페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • VDHL을 이용한 디지털시계 설계 소스코드 (추가 기능 없음)
    Library ieee;Use ieee.std_logic_1164.all;Entity clock isport(clk : in std_logic; -- 20MHz seg_com : buffer
    리포트 | 3페이지 | 1,000원 | 등록일 2016.02.07 | 수정일 2016.02.12
  • 아주대학교 논리회로 HDL프로젝트 100점만점보고서 상세설명 + CODE포함
    [Source code]library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.std_logic_arith.all;-- Uncomment the following ... library declaration if using-- arithmetic functions with Signed or Unsigned values--use IEEE.NUMERIC_STD.ALL ... ;-- Uncomment the following library declaration if instantiating-- any Xilinx primitives in this code
    리포트 | 15페이지 | 2,500원 | 등록일 2016.07.09 | 수정일 2016.07.11
  • 결과보고서 #10 - 순차회로 설계 (FSM)
    아래 상태도와 같은 자판기 알고리즘을 VHDL을 이용해 설계한다.(1) 소스코드library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity A4_KYK_KYS
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 결과보고서 #9 - 순차회로 설계
    위의 그림으로 주어진 ‘동기식 3bit up-down 카운터’를 직접 설계한다.(1) 소스코드library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity A4
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:11 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대