• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(540)
  • 리포트(508)
  • 자기소개서(29)
  • 시험자료(2)
  • 논문(1)

"VHDL code" 검색결과 41-60 / 540건

  • vhdl를 이용한 bcd to excess-3 코드 변환기 설계(순차회로)
    Specification2진수로 표현된 10진수인 BCD에 3을 더하는 Bcd_to_Excess3 코드변환기의 설계를 실시한다. ... 종료되면 그 즉시 새로운 4비트 시퀸스를 받을 수 있도록 하며, 입력으로 클럭과, 리셋, ‘0’을 입력하는 신호와, ‘1’을 입력하는 신호를 사용하고 출력으로 4비트의 excess3_code를 ... ]seg[3]seg[2]seg[1]seg[0]BcdtoExcess-3Codeconveterexcess3_code(Z)Seguences in order ofDigits RepresentedSeguences
    리포트 | 11페이지 | 2,000원 | 등록일 2010.06.11
  • vhdl를 이용한 BCD-TO-EXCESS3 코드변환기(조합회로)
    는 네 개의 bit로 구성되고 가장 최상위 bit를 A로 하여 제일 최하위 bit D까지 A, B, C, D로 표시한다.EXCESS-3 코드(OUTPUT)는 가장 최상위 bit를 W로하고 ... 1. specificationBCD-TO-EXCESS3 코드변환기는 2진수로 표현된 10진수에 3을 더하는 것이다. ... 예를 들면 10진수 0 즉 BCD 0000에 대한 EXCESS3 코드는 10진수 표현시 0+3=3이고 BCD 표현시 0000+0011=0011을 나타낸다.각 BCD 숫자(INPUT)
    리포트 | 9페이지 | 2,000원 | 등록일 2010.06.11
  • [멀티미디어] H.264/AVC용 Intra coding 모듈의 VHDL 구현
    H.264/AVC용 Intra coding 모듈의 VHDL 구현최덕영*VHDL Implementation of Intra coding for H.264/AVC디지털 비디오 압축기술은 ... 상수 a와 b는 DCT와 동일하고 d는 c/b이다. ... 기존의 정지영상 표준안인 JPEG나 JPEG 2000과 비교 분석하여 H.264/AVC의 인트라 코딩이 더 좋은 효과를 나타낸다는 것을 검증하였으며, 이를 토대로 하드웨어 설계언어인 VHDL언어를
    리포트 | 4페이지 | 2,000원 | 등록일 2005.06.09
  • VHDL-Post lab - Error detection and correction (에러 검색 및 수정 코드)
    Hamming code decoder 의 VHDL 코드 작성-describe its input output signals (Input: 7 bits /Output: 4 bits )- ... Hamming code decoder 의 VHDL 코드 작성Do a waveform simulationdownload the data into the kitmake an experiment ... Hamming code decoder 의 VHDL 코드 작성Do a waveform simulationdownload the data into the kitmake an experiment
    리포트 | 6페이지 | 1,500원 | 등록일 2009.06.29
  • VHDL-Pre lab - Error detection and correction (에러 검색 및 수정 코드)
    Hamming code decoder 의 VHDL 코드 작성-describe its input output signals (Input: 7 bits /Output: 4 bits )- ... Hamming code decoder 의 VHDL 코드 작성-describe its input output signals (Input: 7 bits /Output: 4 bits )교수님께서 ... -Do a simulationTestbench 코드는 내가 임의대로 오류가 없는 입력 값과 오류를 발생시키는 입력값으로 나누어 test 해보았다.위의 두 시뮬레이션을 살펴보면 coding
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • VHDL 코드를 이용한 M bit 가산기와 비교기(spartan 보드 구현결과)
    그리고, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 원하는 결과값을 얻을 수 있도록 구현해본다.실험 도구personal computer, Xilinx ... 사용하여, 4비트 comparator를 만드는 과정을 코딩한다. ... ISE 9.2i program, Spartan-3 Board, JTAG cablesPost LabDon’t describe the full adder and half adderDesign
    리포트 | 7페이지 | 2,000원 | 등록일 2008.09.23
  • [VHDL] floating point adder 부동소수점 가산기 전체 소스코드 (IEEE754)
    Floating_Point_Adderinput, output, component 정의각 단계(stage1~stage7)를 순차적으로 실행stage_1 : Compare_Exponent두
    리포트 | 2,000원 | 등록일 2008.04.25
  • VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법)
    즉, VHDL코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인 관점을 시뮬레이션 하기위한 Synthesis와 실제 시간적인 관점 시뮬레이션 ... Source 클릭VHDL Test Bench클릭 후 파일이름에 tb_decoder라고 기입하고, 위치를 다음과 같이 저장해준다.Test Bench에 이와 같은 코드를 입력하여 준다.입력을 ... 및 프리랩VHDL 소개1.
    리포트 | 29페이지 | 3,000원 | 등록일 2008.06.01
  • VHDL코드를 이용한 spartan-3 보드 구현(spartan 보드 사용법)
    즉, VHDL코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인 관점을 시뮬레이션 하기위한 Synthesis와 실제 시간적인 관점 시뮬레이션 ... Analyze and comment the results. ... 논평)실험 목적이번 실험에서는 Xilinx ISE 9.2i프로그램 설치 및 프로그램 조작법에 대한 능력을 기르는 것에 초점을 맞추고, Xilinx ISE 9.2i프로그램을 사용하여 VHDL
    리포트 | 7페이지 | 2,000원 | 등록일 2008.06.01
  • bcd to excess-3 코드의 spartan-3 보드 구현결과(VHDL)
    Xilinx 프로그램을 활용하여 BCD코드를 Excess-3 코드로 변환시키는 변환기를 구현하는 것인데, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 ... 파일내용 상세보기 전자전기컴퓨터설계실험ⅢBCD to Excess-3 code Converter목 차실험 목적 실험 도구 Post Lab (실험 결과 및 분석, 논평)실험 목적이번 실험은 ... Prelab에서 구현한 결과와 실제 실험에서 구현한 결과가 일치한다.실제 코드를 보면 bcd 코드에 3을 더한 값이 excess3로 출력됨을 볼 수 있다.
    리포트 | 19페이지 | 3,000원 | 등록일 2008.06.01
  • 논리 회로 VHDL 프로젝트 (가산기, 반가산기, 전가산기 소스코드, 사진, 파형, 캡쳐 모두 게재)
    VHDL 프로젝트 보고서가산기(adder)디지털 컴퓨터들은 다양한 정보처리 작업을 수행한다. ... -----------------반가산기 소스 코드------------------------- Designer : JB-- Date : 2007.12.01library ieee;use ... -- x, y, c_in, s_out, c_out -> x, y, c_in, s_out, c_out 5개의 변수가 5개의 signalbeginFA : full_adder port map
    리포트 | 9페이지 | 5,000원 | 등록일 2008.11.18
  • A+학점인증 디지털시스템설계 과제1 보고서 Structural and Behavioral Designs(코드, 설명 포함)
    Structural code Simulation result =>Test bench 코드를 이용하여 설계한 Structural Code를 동작시켰을 때, 위와 같은 결과가 ... 목표 - 아래에 주어진 논리 회로에 대해 VHDL프로그램을 이용하여 Structural program형식과 Behavioral program형식을 설계하고 test bench를 이용하여
    리포트 | 7페이지 | 3,000원 | 등록일 2021.04.07
  • 디지털시스템설계실습 전감산기 결과보고서
    전감산기를 Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라.① 논리조합회로를 이용② if~then~elsif~end if형식2. ... 전감산기에 대해서 복습함과 동시에 VHDL로 설계하는 여러 방법들을 배울 수 있는 시간이었다. ... Verilog 또는 VHDL로 설계한 전감산기를 컴파일 및 시뮬레이션하고, 시뮬레이션 결과를 진리표와 비교한 후 다음에 나타내라.연습문제2.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    이용한 코드출력 결과60진 카운터--------------------------------------------------p.9이론적 배경Vhdl를 이용한 코드출력 결과12진 카운터 ... Decoder 의 정의Vhdl를 이용한 코드출력 결과1초 생성기----------------------------------------------------p.8이론적 배경Vhdl를 ... 시계이론적 배경Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • VHDL-1-가산기,감산기
    이렇게 NOT을 구현하고 Carryin에 M을 연결해서 1일 경우 회로에 1이 더해지도록 한다.2.2 소스코드 설명(VHDL)소스코드설명library ieee;use ieee.std_logic ... Half_Substractor isport(X, Y : in std_logic; D, B: out std_logic);end component;component OR_VHDL isport ... 설명(VHDL)소스코드설명library ieee;use ieee.std_logic_1164.all;entity Half_Adder isport( X : in std_logic;Y
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • A+학점인증 디지털시스템설계 과제2 보고서 Combinational Logics(코드, 설명 포함)
    Write a test bench that shows your design works correctly. ... Write a VHDL program of 74X381.2. ... Write a structural VHDL program of the blackbox.4.
    리포트 | 8페이지 | 3,000원 | 등록일 2021.04.07
  • ROM&RAM 설계
    이용한 코드출력 결과RAM 설계 ---------------------------------------------------p.11이론적 배경VHDL를 이용한 코드출력 결과고찰-- ... 수 있는 것을 객체(object)라고 부르며, 객체의 종류는 signal, variable, constant로 나눌 수 있다. signal은 VHDL 합성 시에 선으로 구현 가능한 ... 전역 변수이고, variable은 연산의 단계에서 이용되는 지역 변수이다. constant는 상수를 나타낸다.
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.05
  • BCD 가산기 설계 결과보고서
    BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다 일상생활과 디지털 연산은 기반으로 하는 수가 다르기 때문에 이 실습에서는 BCD로 입력되는 두 수를 더한 2진결과를 ... 감산기로 동작할 경우 빼는 수의 2의 보수를 취해서 더해야 한다.Verilog, VHDL ; 가산회로는 부호를 고려하지 않아도 되지만, 감산회로는 부호를 고려해야 한다. ... 20F‘1’“0111”“1110”F9“1101”“0010”0B2. n비트 가산기/감산기의 예에서 입출력 비트 수가 많아질수록 Schematic으로 설계 할 때와 Verilog 또는 VHDL
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    Source & Results1)VHDL Source1-1)Lcd_display1-2)lcd_test1-3)data_gen2)TestBench source3)Result wave7+ ... 딥스위치(8비트)3)process별 역할 설명상위에 lcd_display 모듈을 만들고, component형식으로, lcd_test와 data_gen을 불러오는 방식으로 코드를 구성한다 ... 누르면 reg_file(3)에 = 표시에 해당하는 아스키코드값이 들어가게 되고 결과값인 result를 아스키코드로 변환하여, 그 뒤에 표시한다.
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    그림 4의 회로는 2진수를 2진화 10진수 (binary coded decimal, BCD)로 변환을 수행하는 회로이다. ... 가산기/비교기/멀티플렉서/디멀티플렉서실험 목표비교기의 원리를 이해하고 이를 응용한 회로를 구성할 수 있다.디코더와 인코더의 원리를 이해한다.실험 이론2진화 10진수 (binary coded ... 이 오류는 VHDL코드를 이용해서 보정할 수 있다.디코더 (decoder)와 인코더 (encoder)디코더는 인코딩된 정보를 해독하는 회로이다.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 06일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:17 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대