• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(540)
  • 리포트(508)
  • 자기소개서(29)
  • 시험자료(2)
  • 논문(1)

"VHDL code" 검색결과 21-40 / 540건

  • VHDL 8층 엘리베이터 구현 코드 및 보고서, 발표자료
    개발에 이용 된 Tool 들은 다음과 같다Modelsim 6.1b(VHDL 코드 작성 및 Function적 동작 검증에 이용)Quartus 4.0(Kit에 HDL 코드를 이식하기 ... Elevator Controller를 VHDL 코드로 작성, 그 동작을 검증 한 후 FPGA Kit 로 옮겨 Controller의 Function적 동작을 보여주는 것이 목적이다. ... 위한 합성 단계에 이용)UltraEdit(보다 용이한 코드 작성에 이용)SoCMaster-XP100(HDL 설계 자료를 구현하는데 이용)
    리포트 | 5,000원 | 등록일 2012.12.06
  • VHDL 계산기 소스코드 및 보고서
    Sources & Results1) VHDL source주석문은 vhdl문 내부에서 한글로 선언시 에러 및 글자 깨짐현상이 있어서 서툴지만 영어로 작성하였습니다.calculator.vhd ... PUSH_SW1 : “-”DIP_SW(3:0), 아래쪽이 ON이상 기계장치에 대한 사전지식이었고, 나머지 사전지식을 앞서 실험했던 가산기 감산기의 내용을 그대로 이해하면 된다.그리고 다음으로 코드와 ... ;component data_genPort ( FPGA_RSTB : in STD_LOGIC;CLK : in STD_LOGIC;w_enable : in STD_LOGIC;data_out
    리포트 | 16페이지 | 4,000원 | 등록일 2010.07.09
  • VHDL코드를 이용한 Seven Segment구현 및 simulation
    회로도3-4 소스코드와 설명library ieee; -- 라이브러리 지정use ieee.std_logic_1164.all;entity seg_code isport(A0, A1, A2 ... ;architecture sample of seg_code isbegina ... , A3 : in std_logic; -- A0 ~A3 까지 입력 포트a, b, c, d, e, f, g : out std_logic); -- a ~ g 까지 출력 포트end seg_code
    리포트 | 5페이지 | 1,500원 | 등록일 2010.12.27
  • VHDL을 이용한 7-Segement Top 코드 및 시뮬레이션
    ProcInput code세그먼트 키의 우선순위를 정하는 코드이다. ... VHDL실습 4주차 레포트7-segement 최종 Top담당교수 :담당조교 :전자공학과세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 ... 예를들어 '5‘를 표현하기 위해서 a,c,d,e,g에는 불이 들어오고 b와f에는 불이 들어오지 않는다.
    리포트 | 8페이지 | 2,000원 | 등록일 2010.12.27
  • VHDL BCD to Excess-3 Code Converter(post lab) vhdl code 입니다.
    실 험 소 개 (Introduction)1) Purpose of the Experiment이번 실험에서는 BCD to excess-3 code converter를 설계하는 것이다. ... 이 실험을 통하여 VHDL의 사용법을 익혀보고, Behavioral model과 dataflow model로 프로그램을 해보고 두 개의 방식을 모두 simulation과 emulation하여 ... 제대로 작동하고 있다는 것을 알 수 있다.2) Excess-3 Serial Code Converter Behavioral Source Results① Prelab에서와 달라진 소스코드Prelab
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.28
  • Verilog와 VHDL을 최상위 설계 소스 코드를 입력으로 한 디폴트 테스트벤치 소스 코드 템플릿 생성 프로그램 소스
    Verilog 1995와2001와 VHDL포트 목록으로 선언된 설계 소스 코드을 읽어 들여서 초기화된 verilog 테스트 벤치 코드로 변환 해주는 프로그램.목차1.소스 코드2.프로그램
    리포트 | 5,000원 | 등록일 2012.12.26 | 수정일 2014.04.10
  • VHDL코드를 이용한 해밍코드decoder, 오류검출및 정정
    Hamming code decoder 의 VHDL 코드 작성describe its input output signalsInput: 7 bits Output: 4 bitsdescribe ... (6) flip)코드에서는 7비트의 입력 값을 haming code(6), haming code(5),….. haming code(1), haming code(0) 으로 정의 하였는데 ... 될 4비트의 bcd코드를 정의 하였다.port ( haming_code : in std_logic_vector (6 down
    리포트 | 17페이지 | 2,500원 | 등록일 2008.09.20
  • [토끼] 10진 카운터 VHDL 구현 및 합성, 분석 _ 상세 설명, 코드 기재
    결국 R 과 C를 줄이는게 관건인데 레이아웃단계에서 도선의 물질을 구리로 교체한다던지 저항이 낮은 물질을 사용한다던지 하면 우리가 이번시간에 확인하고자 하는 글리치 현상은 훨씬 줄일 ... 수 있을 것이고 시뮬레이션 프로그램에 설정된 파라미터도 좀더 개선되어 질거라 생각된다.(1-1) 10진 카운터의 구현 - Vhdl Code--/ ===================
    리포트 | 16페이지 | 5,000원 | 등록일 2013.01.16 | 수정일 2020.07.13
  • [보고서+소스코드]아주대 디지털시스템설계 1차 프로젝트 VHDL이용한 학번설계
    (시간이 지난 것은 감점)● 소스코드① Main code② Test bench code● ModelSim 프로그램을 이용한 Testbench 코드 시뮬레이션① 0~900ns 까지 클럭의 ... (소스 파일과 보고서 파일 모두 한 파일로 압축하시오)(3) 파일은 e-class 과제 제출에 업로드 시킨다.(4) 제출 시간은 반드시 엄수한다.
    리포트 | 4페이지 | 3,600원 | 등록일 2014.10.05 | 수정일 2017.08.03
  • [보고서+소스코드]아주대 디지털시스템설계 2차 프로젝트 VHDL이용한 엘리베이터 설계
    VHDL를 사용하여 아래와 같은 제품을 포함한 elevator를 설계하라.설계 Spec• (1~5층 elevator 자유롭게 이동 가능해야 한다.)• (1~9명 탑승 가능 인원 초과 ... 정지 후 경보)• Elevator 내부에는 1~5층 버튼, 긴급 버튼이 있다.• Elevator 외부에는 상하 버튼이 각각 있다.1) State Diagram Design2) VHDL
    리포트 | 21페이지 | 5,000원 | 등록일 2014.10.05 | 수정일 2018.12.02
  • M bit 가산기와 비교기(VHDL코드)
    ---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code ... 코딩에서 동작원리라고 되 있는 부분을 잘 살펴본다.make VHDL codeslibrary IEEE; --ieee 라이브러리use IEEE.STD_LOGIC_1164.ALL;use ... 그리고, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 원하는 결과값을 얻을 수 있도록 구현해본다.실험 도구personal computer, Xilinx
    리포트 | 18페이지 | 2,000원 | 등록일 2008.06.01
  • BCD to EXCESS-3 변환코드(VHDL)
    Data Flow Model이 두가지는 프리랩에서 자세히 다룬다.PRELABSpecify the VHDL codes for assignment1 and describe the codes ... 이러한 Mealy machine의 대표적인 예가 바로, bcd코드에서 excess3코드로의 변환이다.Serial code converter는 Mealy FSM의 transition ... , Spartan-3 Board, JTAG cables이론 및 프리랩이 론BCD코드 -> Excess3 코드로의 변환을 위해서는 다음과 같은 사항들을 알아야 한다.Finite State
    리포트 | 18페이지 | 2,000원 | 등록일 2008.06.01
  • [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    그리고 VHDL의 가장 큰 특징 중 하나인 concurrent 기능을 이해하여 concurrent 기능이 필요할 때와 sequntial 기능이 필요할 때를 구분하여 sequntial ... 이렇게 하면 코드가 간결해 지고 반복이 줄어들며 가독성이 좋아질 것이다. ... 이러한 과정에서 기본적으로 주어진 top_traffic, clock_divier, interval_counter 와 같은 신호등 컨트롤러 소스를 분석하고 이해하여 추가적으로 제시된
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [VHDL]64point FFT/IFFT VHDL 구현 소스코드
    `EVENT AND clk = `1` THENxi_reg ... SIGNAL xi_reg: table_signed(0 TO N_DLY-1);SIGNAL xq_reg: table_signed(0 TO N_DLY-1);BEGINPROCESS(rstn, clk ... THENFOR i IN 0 TO N_DLY-1 LOOPxi_reg(i) `0`);xq_reg(i) `0`);END LOOP;ELSIF clk
    리포트 | 33페이지 | 2,000원 | 등록일 2005.12.18
  • VHDL 코드를 이용한 M bit 가산기와 비교기
    ---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code ... 코딩에서 동작원리라고 되 있는 부분을 잘 살펴본다.make VHDL codeslibrary IEEE; --ieee 라이브러리use IEEE.STD_LOGIC_1164.ALL;use ... 그리고, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 원하는 결과값을 얻을 수 있도록 구현해본다.실험 도구personal computer, Xilinx
    리포트 | 17페이지 | 2,000원 | 등록일 2008.09.23
  • 32bit instruction RTL system의 VHDL 구성 및 simulation (코드포함)
    of_dest,of_s1 => of_s1,of_s2 => of_s2,mdr_en => mdr_en,save_en => save_en,j_sel => j_sel,save_tc => tc,op_code ... 설계목적- 32bit instruction RTL system을 VHDL로 구성하고 simulation을 통해 RTL system의 구현을 확인해 본다.2. ... map ( addr => addr_mm,wea => sig_ram,en => save_en,clk => main_clk,ram_data => ram_input_data,tc =>
    리포트 | 27페이지 | 5,000원 | 등록일 2010.11.05 | 수정일 2024.01.04
  • 16x2 문자형 LCD 를 FPGA상에서 4-비트 모드로 구동하기 위한 VHDL 코드
    entity lcd16x2 isport(Clk : in std_logic; -- system clock(5MHz) Rst : in std_logic; -- asynchronous ... reset, active high Tick : in std_logic; -- 250KHz(4us) pulse train, 펄스폭:clk 한주기 Din : ... std_Logic_vector(23 downto 0); -- input data packet Wstrb : in std_Logic; -- write data strobe, 펄스폭:clk
    리포트 | 9페이지 | 2,000원 | 등록일 2012.02.20
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    실험 목적이번 실험에서는 VHDL 언어에 대한 정확한 이해를 도모하고, Xilinx ISE 9.2i를 이용하여 BCD코드를 Excess-3코드로 변환시키는 변환기를 VHDL 로 coding ... BCD-to Excess-3 code converter on Spartan B/D1) Project 생성후 New Source Wizard 설정2) VHDL coding 후 SAVE ... 이번 실습에서 Behavioral 코드가 주어지긴 했지만, 이보다 전에 Dataflow code를 전제로 한 Behavioral code가 나와야한다.
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • VHDL 쿼터스 존슨카운터 설계 코드소스 파형
    1. 4비트 존슨카운터1) 비동기 리셋VHDL 설계출력파형2) 동기리셋D플립플롭VHDL 설계출력파형2. MOD 4 bit counterj k 플립플롭VHDL 설계출력 파형
    리포트 | 3페이지 | 1,000원 | 등록일 2007.05.14
  • VHDL코드를 이용한 MUX and DEMUX 구현(multiplexer and demiltiplexer)
    실험 목적이번 실험은 MUX와 DEMUX에 대해 상세하게 알아보고, Xilinx프로그램을 활용하여 VHDL코드로 2x1 MUX와 74LS138 1x8 DEMUX구현하는 것이다. ... codes for 74LS138refer to in the textbookmake a input/output pin assignmentuse onboard 4 slide s/ws ... DEMUX in detailsDesign a 2x1 MUXdescribe its input output signalsdescribe its functional behaviorswrite VHDL
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 06일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:25 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대