• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,509)
  • 리포트(2,327)
  • 시험자료(79)
  • 자기소개서(59)
  • 방송통신대(22)
  • 논문(17)
  • 서식(4)
  • 이력서(1)

"카운터 설계" 검색결과 741-760 / 2,509건

  • 논리회로설계실험 프로젝트 7 segment 스탑워치
    동기식 카운터로 나뉜다.이번 설계에서는 동기식 카운터를 사용한다.- 비동기식 카운터(asynchronous counter)공통 클록을 사용하지 않기 때문에, 플립플롭들의 동작시간이 ... 변수가 0이면 클락 카운터카운터를 하지않고 그대로 멈추게 하였고1이면 클락 카운터카운터를 하도록 구현하였다. ... 논리회로설계 설계 보고서 #21.
    리포트 | 15페이지 | 3,000원 | 등록일 2015.04.17 | 수정일 2016.03.26
  • 플립플롭 예비보고서
    회로 설계자 입장에서는 초기 Q의 상태를 예측할 수가 없다. ... 플립플롭은 기억소자로 사용되며, 또한 주파수를 분할하거나 카운터(counter)를 제작하는 등에 널리 응용된다. ... 따라서 클럭 펄스가 들어올 때마다 출력이 바뀌게 된다.(9) 플립플롭들의 응용에 관하여 설명하라.디지털 시스템 설계에서의 회로를 구성할 때, 조합논리와 결합하여 순차회로의 기능을 구현하는
    리포트 | 10페이지 | 1,000원 | 등록일 2018.03.18
  • (예) 18. 비동기카운터
    비동기 카운터실험의 목적업/다운 비동기 카운터 설계 및 분석카운터의 모듈러스 변경IC카운터의 사용과 카운트 시퀀스 절단실험의 개요이론 요약디지털 카운터는 클럭이 이루어지는 방법에 따라 ... 이러한 이유로 비동기 카운터를 리플 카운터 라고 한다. ... 파형을 관찰하여 이 카운터가 업카운터 인지다운카운터 인지를 결정하고 기록하라.② 이번순서에서는 카운터에서 실제 논리 출력을 얻어내는 방법을 변경할 때 어떤 일이일어나는 지를 살펴볼
    리포트 | 6페이지 | 1,000원 | 등록일 2015.12.11
  • Digital System Design VHDL(디지털 시스템 디자인 VHDL)
    디지털 시스템Up-Down Counter Logic 설계 제어신호에 의하여 클럭 입력에 맞추어 카운터가 증가하거나 또는 감소하는 카운터를 업다운 카운터라고 한다 . ... 디지털 시스템Counter with Enable Logic 설계 클럭신호의 상승 에지에서 EN 신호의 논리값이 ‘ 1 ’ 일때는 카운터로 동작하며 , ‘ 0 ’ 일때는 현재의 값을 ... Top Down 설계 도구 Layout Editor Schematic Editor HDL Synthesizer 설계 범위 1K Gate 이하 Gate, Counter, MUX 10K
    리포트 | 53페이지 | 3,500원 | 등록일 2011.11.08
  • [건축설계][캐드도면][실내공간디자인] - 작은 까페 캐드도면 도면입니다.(3.5m x 8m 8평)
    [건축설계][캐드도면][실내공간디자인] - 작은 까페 캐드도면 도면입니다.(3.5m x 8m 8평) 3.5m x 8m 정도의 작은 까페 캐드도면입니다. ... 작은 규모만큼 준비실이나 카운터등이 작으며 좌석수도 1인석이나 2인석위주의 좌석으로 14개입니다. 테이크아웃전문점이나 소규모 까페도면입니다.
    리포트 | 2,500원 | 등록일 2016.07.09
  • 전자회로실험II - 실험 9. DC 모터 속도 제어 및 측정 제 2주 예비보고서
    예비 과제 및 설계(1) Maxplus(혹은 PSpice)를 이용하여 그림 3.2.6의 비동기 계수기를 시뮬레이션 하고 글리치를 확인하라. ... 한편 동기식 카운터에서는 모든 플립플롭에 클럭 신호가 병렬로 동시에 인가되기 때문에 계수 속도가 빠른 장점이 있으나 비동기식 카운터에 비하여 복잡하다는 단점이 있다.카운터에서 한 계수 ... 이 출력 파형을 일정 시간동안 카운터 하여 축의 회전 속도를 구할 수 있다.
    리포트 | 11페이지 | 2,000원 | 등록일 2017.04.02
  • 민자사업 특징,인천공항철도,민자사업의 개념,민자사업이란,민자사업의 종류
    공급자 위주의 이용 불편한 설계 플랫폼 체크인 카운터 10 분 이상 제 2 터미널 위치 고려 해외 경쟁공항 공항철도 고려 수요자 공 급 자인천공항철도 사례 문제점 4.
    리포트 | 17페이지 | 2,000원 | 등록일 2019.04.15
  • Xilinx IP core의 설계 및 VHDL의 기초 설계
    Toc184483317" 4.ISE 9.1 테스트벤치 만들기 PAGEREF _Toc184483317 \h 24 HYPERLINK \l "_Toc184483318" 4.1.4 bit 카운터 ... Xilinx IP core의 설계 및 VHDL의 기초 설계법목 차 TOC \o "1-2" \h \z \u HYPERLINK \l "_Toc184483310" 1.서론 PAGEREF ... \l "_Toc184483312" 2.1.4 bit Counter 설계하기 PAGEREF _Toc184483312 \h 4 HYPERLINK \l "_Toc184483313" 2.2
    리포트 | 29페이지 | 3,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • 디지털 시계 실제로 구현하기
    입력시키도록 설계함.푸시버튼스위치로 발진부 펄스를 통과시켜 통과된 펄스만큼 시간 조정이 되도록 설계함.나. ... 설계했습니다.알람 모드로 변경? ... 시계부 카운터 출력부의 AND gate에 1신호 차단,알람부 카운터 출력부의 AND gate에 1신호 입력?
    리포트 | 12페이지 | 20,000원 | 등록일 2016.05.20 | 수정일 2021.05.30
  • 컴퓨터구조론 연습문제
    IAS15) 다음 중에서 폰노이만이 제안한 설계 개념의 핵심 내용에 해당하는 것은?가. 제어 카드와 연산 카드를 사용한다.나. ALU를 사용하여 연산을 처리한다.다. ... 프로그램 카운터나. 누산기다. 명령어 레지스터라. MAR17)다음 컴퓨터 부품들 중에서 컴퓨터의 세대를 분류하는 기준이 되지 않는 것은?가. 트랜지스터나. 집적회로다. LSI라.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.15
  • 인하대학교 전자공학과 전자회로실험2 결과보고서 Atmega 개발 환경 & GPIO
    MCU는 임베디드 애플리케이션을 위해 설계 되었고 이에 널리 사용된다. 즉 정해진 일의 제어에 널리 사용된다. ... : 8비트 타이머/카운터 2개, 16비트 타이머/카운터 2개, 총 4개- ADC : 8채널 10비트 ADC 내장- 통신 : USART(시리얼 통신) 2채널, TWI(I2C) 1채널 ... SRAM, 4KB EEPROM- 입출력(I/O) : (A~F port) + G port = (8 x 6) + 5 = 53 I/O pin- 디버깅 : JTAG 인터페이스 지원- 타이머/카운터
    리포트 | 5페이지 | 1,000원 | 등록일 2017.12.25
  • 볼링스코어 레포트
    과 목 : 논리회로설계실험과 제 명 : Term Project(볼링점수카운터설계)담당교수 : 조준동 교수님학 과 : 전자전기공학전공제 출 일 : 2011. 06. 21 (화)Midterm ... 마지막으로 최종 완성한 볼링 스코어 카운터 설계를 설명하였다.2.1 설계 준비 과정2.1.1 기본 볼링 게임 규칙① 기본원칙- 가산점은 다음의 두 프레임의 점수에 한정된다.- 스페어 ... ········ 62.2.2 2차 시도·································································· 72.3 볼링 스코어 카운터
    리포트 | 18페이지 | 5,000원 | 등록일 2011.08.26
  • 시프트 레지스터 예비보고서
    력 상 태(3) 존슨 카운터존슨카운터는 타이밍 신호를 생성하기 위한 회로의 플립플롭의 수를 줄이기 위해 시프트 레지스터와 디코더를 이용하여 설계한 타이밍 신호 발생이다.존슨 카운터는 ... 존슨 카운터가 구조면에서 링 카운터와 유사하지만 출력 주파수는 링 카운터의 1/2이다. ... 그러나 링 카운터는 별도의 복호기 (decoder)가 필요하지 않다는 장점이 있다.존슨 카운터는 일명 트위스트 링 카운터 (twisted ring counter) 또는 시프트 카운터
    리포트 | 8페이지 | 1,000원 | 등록일 2015.12.20
  • 디지털시계 설계 및 원리
    디지털 시계 전체 블록도14.2 동기식 modulo-N 카운터 설계이제부터 카운터설계해 보자. 이미 9.2절에서 modulo-6 카운터, BCD 카운터설계를 했었다. ... 제14장 디지털 시계14-목 적- 동기식 카운터를 이용한 디지털 시계를 설계14.1 디지털 시계의 구성주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 ... 그러나 시를 나타내는 12진 카운터의 경우에는 조금 복잡하다. 앞에서 시를 나타내기 위해 설계했던 12진 카운터는 하나의 카운터로 0부터 11까지 세도록 설계하였다.
    리포트 | 10페이지 | 2,000원 | 등록일 2009.01.31
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습8 [예비레포트]
    Purpose of this LabText LCD에 대해 이해하고 학습한다.Text LCD에 문자를 표시할 수 있는 회로를 설계한다.Text LCD에 자신의 학번과 이름을 표시할 수 ... LCD Read TimingText LCD 제어 명령어그림 SEQ 그림 \* ARABIC 3 Text LCD 제어 명령어Display Clear : 전체 화면을 지우고 어드레스 카운터를 ... 문자를 표시하는 장치7-Segment 등의 표시 장치와 다르게, Text LCD에는 액정에 문자를 표시하기 위한 컨트롤러가 같이 구성되어 있기 때문에, 이 컨트롤러를 제어하는 로직이 설계되어야
    리포트 | 18페이지 | 1,000원 | 등록일 2017.10.19
  • 실험4) 타이머와 카운터 실습 7, 8
    ▣실습8 : 타이머를 이용한 디지털 시계1) 실습개요- 타이머를 이용하여 디지털 시계의 기능을 설계- Array-FND 모듈에 마이크로 컨트롤러 출력 포트를 연결하고, 클럭을 이용하여 ... 결정여기서는 8비트 타이머/카운터인 타이머/카운터 0를 사용- 동작모드 결정여기서는 일반 동작 모드 사용TCCR 레지스터의 CS를 제외한 모든 비트들을 0으로 세트- 타이머 클럭 ... 일정 카운트 기능 을 수행- 타이머/카운터 0의 일반 모드 동작을 사용한다- 편이상 시계는 초와 분까지만 표시2) 실습 목표- 타이머/카운터 활용 방법의 습득(관련 레지스터 이해)
    리포트 | 9페이지 | 1,500원 | 등록일 2015.11.12
  • Musashino Art University Library
    - 잡지코너 - 영상코너 - 북갤러리 - 개방형 열람실 - 사진책코너 - 미팅룸 - 경비실 - 사무실 - 화장실 1F - 주출입구 - 열람실 -Cat walk - 그룹스터디룸 - 카운터 ... 사무소 설립 아오모리 현립 미술관 설계 컴페티션 2 위 2003 JIA 신인상 안나카 아트 포럼 국제 설계 공모 최우수 2005 도쿄 건축사회 주택 건축상 금상 마모토 아트폴리스 ... 설계 공모 최우수 2006 JIA 일본 건축 대상 AR 어워드 대상 2008 월드 페이퍼 어워드 2009 최우수 2012 베니스 건축 비엔날레 .
    리포트 | 15페이지 | 2,000원 | 등록일 2016.10.18
  • 당신이 수산업계에 속한 한 회사의 인적자원관리자라고 가정하라. 상급자로부터 수산물신선도
    그는 15초 안에 고객의 마음을 움직이느냐에 따라 고객이 가질 항공사에 대한 이미지와 서비스에 대한 평가를 좌우할 수 있다고 주장했다.그에 따라 고객이 예약 문의전화를 하고, 공항 카운터를 ... 교육프로그램을 재설계하시오.I. 서론II. 본론1. 현재 회사의 인적관리방식에서 발생할 수 있는 문제2. 인력 교육프로그램의 재설계III. 결론IV. 출처I. ... 인력 교육프로그램의 재설계수산업계의 경우 현재 유통채널은 노량진수산시장이 대표적이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2019.03.26 | 수정일 2019.03.27
  • Application-Design-Ⅱ-Text-LCD Control
    뒷 2자리를 이용하여 카운터설계하시오유의사항00 -> 99 (Count Up 시)99 -> 00 (Count Down 시)09 -> 10(Count Up시)20 -> 19(Count ... LCD에 대해서 알아보고 TegText LCD Read TimingText LCD 제어 명령어Text LCD 제어 명령어Display Clear : 전체 화면을 지우고 어드레스 카운터를 ... 다음에 송수신하는 데이터는 DD RAM의 데이터이다.Busy flag & address Reading : LCD 모듈이 내부 동작중임을 나타내는 Busy Flag(BF) 및 어드레스 카운터
    리포트 | 27페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • [디지털회로실험] 중간 프로젝트(Mid-Term Project) 가위바위보 게임 제작
    CLR와 CLK 입력에 넣어주어 카운터를 reset시키는 동작을 하도록 설계했다. ... 조제출일학과/학년학번이름2014. 04. 22◎ 목차■ 설계 specification 및 제한 사항■ 쿼터스 회로 설계 및 시뮬레이션 확인■ 브레드 보드 제작■ 사용 부품 리스트 및 ... 이를 이용하여, 카운터 출력 값의 MSB와 LSB를 AND Gate에 입력하여 1이되는 순간 다음 카운터로 넘어가게 한다면, 7490 TTL을 5개 사용하여 각 카운터 당 주파수를1
    리포트 | 11페이지 | 4,000원 | 등록일 2014.10.21
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:06 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대