• 통큰쿠폰이벤트-통합
  • 통합검색(2,494)
  • 리포트(2,314)
  • 시험자료(78)
  • 자기소개서(59)
  • 방송통신대(22)
  • 논문(16)
  • 서식(4)
  • 이력서(1)

"카운터 설계" 검색결과 661-680 / 2,494건

  • 실험8. Counter 예비보고서
    공학 기초지식과 전문지식을 활용하여 전자공학의 시스템, 부품, 공정, 방법을 분석하고 설계하는 능력을 기른다.2. ... 실험에서는 플립플롭을 이용한 카운터와 BCD 카운터를 사용한다. ... 비동기식 카운터와 어떻게 다른지 보자면 동기식카운터는 오른쪽의 그림과 같다.
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.07
  • ATmega128_Interrupt 보고서
    임베디드 시스템 설계 #3학 과학 번이 름제출일확 인Interrupt에 대해 이해하고, MCU ? ... 비교매치11$0014TIMER OVF타이머/카운터2 오버플로우12$0016TIMER1 CAPT타이머/카운터1 입력캡쳐13$0018TIMER1 COMPA타이머/카운터1 비교매치 A14 ... 비교 매치 C26$0032TIMER3 CAPT타이머/카운터3 입력 캡처27$0034TIMER3 COMPA타이머/카운터3 비교 매치 A28$0036TIMER3 COMPB타이머/카운터3
    리포트 | 8페이지 | 1,000원 | 등록일 2017.10.30 | 수정일 2020.07.04
  • 10진 업 카운터에 대하여 정리
    2310진 업 카운터10진 업 카운터요 약본 보고서에서는 최저의 저가로 주변에서 흔히 볼 수 있는 디지털 시계의 일부분인 10진 카운터설계해본다. ... 그런데 이 실험에서 쓰이는 카운터는 10진 카운터에 해당한다. 10진 카운터란 4비트 이상의 기억 소자로 구성되며 대부분 BCD 코드(2진화 10진 코드)가 사용되며, 10개씩의 입력으로 ... SN74LS90N 데이터시트SN7490 IC는 2진 및 5진 카운터를 내장한 IC이다. 12번 핀은 2진 카운터 출력이고, 1번 핀은 5진 카운터 출력이며 1번과 12번을 연결하면
    논문 | 6페이지 | 3,000원 | 등록일 2015.05.25
  • 디지털공학실험 09. romramcounter 예비
    DIR(direction)이 0 이면 카운터가 +1씩 증가되고 DIR이 1이면 카운터가 -1씩 감소되게 설계하였다.상태그래프(Counter)D=0일 때 카운터는 +1 씩 증가하고 ... D=0일 때 카운터는 -1씩 감소한다. ... 이때, DATA n비트의 입력값으로 해당 메모리에 저장된다.카운터는 4bit 0000 ~ 1111까지 즉, 십진수 0 ~ 15까지 증가, 감소하는 기능을 하는 회로이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.29
  • Mod - n 카운터
    실험목표- Flip-Flop을 이용하여 다양한 Mod – n 카운터(Counter)를 설계할 수 있다.- 비동기 카운터와 동기 카운터의 차이를 이해하고 비동기 / 동기 카운터설계할 ... 기초전자회로실험 및 설계2 예비보고서제목 : Mod – n 카운터1. ... - 설계문제 1)은 Mod – 10 Up 카운터인 반면 이번에는 JK Flip-Flop을 이용하여 Mod – 10 Down 카운터설계한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2016.04.12
  • 전자계산기구조 핵심요점정리(직접작성한내용)
    T플립플롭(카운터설계하는데 가장 많이 사용):반전TQ(t+1)=다음상태설명0Q(t)현재 상태 그대로 출력1Q'(t)현재 값 반전? ... 설계? ... 0인 조합논리회로를 설계하여라.?
    리포트 | 7페이지 | 1,000원 | 등록일 2016.11.30
  • 디지털논리회로 엘레베이터 제어기 설계
    개념 설계 및 사전 조사 ... 사용 부품:(1) 74148 priority encoder(2) 7474 D플립플롭 2개(3) 7485 비교기(4) 7493A 2진 카운터/74139(5) 7447A BCD/10진
    리포트 | 5페이지 | 10,000원 | 등록일 2018.03.24 | 수정일 2018.03.28
  • 디지털논리회로 텀프로젝트입니다.
    회로 설계회로를 설계할 때 우선 회로가 어떤 기능을 해야 하는지를 파악해야한다. ... 설계이론3. 해석(Pspice 분석 자료)4. 검토 및 고찰■ 목적 및 필요성ALUs를 이용한 자유주제에 알맞는 회로를 고민해 보았다. ... 설계 회로도■ 해석 (Pspice 분석 자료)이 회로에서 가장 핵심적인 요소인 랜덤 신호의 발생을 시뮬레이션 해보았다.
    리포트 | 10페이지 | 2,000원 | 등록일 2016.08.17
  • 디지털논리회로 텀프로젝트
    모든 동작이 끝난 후 카운터에 리셋 스위치를 만들어 회로를 초기화 할 수 있도록 설계할 것이다.2. ... 과목 : 기초 전자 회로 실험2비교기, 타이머, 카운터, 세븐세그먼트 디코더를 이용한 알람 스톱워치의 설계담당교수학 번 및이 름학 과전자공학과1. 프로젝트의 목적 및 필요성2. ... 수 있는 장치이다. 7447디코더는 7세븐세그먼트의 구동을 위해 설계된 디코더이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2016.08.17
  • 시프트카운터
    토론 (실험 내용 요약, 결과와 이론 비교)(1)번 실험은 링 카운터 회로의 출력상태를 살펴보는 실험입니다. 링 카운터설계를 하는데, 네 개의 출력을 다 0으로 맞춥니다. ... (나머지 과정은 (1)번과 동일)(응용실험) 난수 발생기 회로를 설계하고 결과를 확인하라.4. ... 트위스트 링 카운터, 워킹 링 카운터, 또는 스위치테일 카운터라고도 합니다.
    리포트 | 7페이지 | 1,500원 | 등록일 2016.11.08 | 수정일 2016.11.10
  • 컴퓨터구조 1장 과제
    설계하라. x=0일 경우 카운터 는 변화가 없고, x=1일 때 11, 10, 01, 00, 11의 순서로 반복된다JA = KA = xJB = KB = A’x[1-21] 두 개의 JK플립플롭 ... A,B와 두 개의 입력 E, x를 갖는 순차 회로를 설계하라. ... 0,1,2,3 일 경우 출력은 이것보다 1이 더 큰 수이고, 입력이 4,5,6,7 일 경우 출 력은 이것보다 1이 더 작은 수가 되는 조합회로를 설계하여라.
    리포트 | 7페이지 | 2,000원 | 등록일 2017.11.28
  • 시립대 전전설2 [9주차 결과] 레포트
    Essential Backgrounds for this LabDisplayText VFD 제어 명령어Display Clear전체 화면을 지우고 어드레스 카운터를 DD-RAM 어드레스 ... 설계를 하였다.4. ... 전자전기컴퓨터설계실험 ⅡPost-report9주차: Display Control1. Introduction (실험에 대한 소개)가.
    리포트 | 11페이지 | 2,000원 | 등록일 2019.07.29
  • 홀소자를 이용한 저금통 동전 수 카운팅
    구동원리를 알고 회로도를 설계한다.”b) "설계한 회로도를 가지고 기판에 납땜하여 실제로 만들어보고 구동시킨다." ... (Active-Low)● 16진 카운터를 2개 내장한 SN74LS393를 10진 카운터로 변형하여 구성한 후 서로 종속 접속하면 10진 2자리 카운터(100진 카운터)를 구성하는 회로로 ... Team Name : 열공모드200311904 김병민200712108 정진용가) 제 목 : “홀센서를 이용한 카운터”나) 학습목표:a) “74LS393 카운터와 홀센서, 기타 IC의
    리포트 | 4페이지 | 1,500원 | 등록일 2010.12.15
  • 디지털 시스템 실험 Sequential Circuit 설계 및 구현 예비보고서
    동기식 UP/DOWN 카운터설계한다.1. ... 디지털 시스템 설계 및 실험 예비 보고서작성자:학번:실험조:실험일:실험제목Sequential Circuit 설계 및 구현실험목표1. 동기식 UP/DOWN 카운터설계한다. ... 여기에서 0~9의 숫자가 반복되는 카운터를 10진 카운터라고 부른다. 이 카운터는 시스템을 설계하는데 중요하다.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • 홀소자를 이용한 저금통 동전 수 카운팅
    카운터와 홀 센서, 기타 IC 의 구동원리를 알고 회로도를 설계한다. ... 설계한 회로도를 가지고 기판에 납땜하여 실제로 만들어보고 구동시킨다.부품 및 기기부품 명모델 및 사양수량부품 명모델 및 사양수량7-Segment LED(HS-S1036A)274LS393174LS00 ... 10진 카운터를 구성하는 회로로 동작 가능. - 0000에서 1001까지 10진 카운터로 동작하게 된다.
    리포트 | 15페이지 | 1,500원 | 등록일 2010.12.15
  • 제어계측공학과 졸업작품 『4족로봇』
    INT 8개- 2개의 8비트 타이머/카운터, 2개의 16비트 타이머/카운터- 2개의 8비트 PWM 채널, 6개의 16-bit PWM 채널- 8채널, 10비트 ADC- 2채널 Serial ... , 마이크로프로세서 응용설계 등의 설계 과목과 전기전자회로실험, 전력기기실험 등의 실험 과목에서 설계 팀 프로젝트를 수 차례 진행함으로써부여된 과제에 따라 목표를 설정하고 그에 대한 ... 회로 분석, 설계,제작, 시험 등의 과정을 거쳐 완성시킨 작품을 제안서, 결과 발표및 홈페이지 개설 등의 방법으로 평가 받음으로써 모든 설계요소들을두루 경험하였음.3.
    논문 | 17페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • (방통대 컴퓨터의이해)개인용검퓨터의 중앙처리장치 개인용컴퓨터 운영체제의 역할 2차원바코드의 종류와 사용사례!!!
    끝으로 인덱스 레지스터(Index Register)는 주소 변경을 위해 사용되는 레지스터이다.CPU는 설계방식에 따라 RISC 방식과 CISC 방식으로 나뉜다. ... 여기서 레지스터는 임시기억장치를 의미한다.프로그램 카운터(Program Counter)는, 다음에 실행할 명령어의 주소를 기억하는 레지스터다. ... 제어장치는 프로그램 카운터(PC), 명령 레지스터(IR), 명령해독기(Decoder), 부호기(Encoder), 메모리 주소 레지스터(MAR-Memory Address Register
    방송통신대 | 6페이지 | 3,000원 | 등록일 2019.03.24
  • 디코더 예비보고서
    .(4) 예비보고사항 (4)에서 설계한 BCD to 7 segment 복호기를 구성하여 회로도 (e)의 7447 복호기와 교체한 후 절차 (3)을 반복하여 이 설계가 옳게 되었음을 ... 십진 카운터 7490은 클럭을 줌에 따라 0000에서 1001까지의 출력이 생성된다. ... , 7447 복호기 및 LTS 542를 이용하여 회로도 (e)를 구성하고, 7490 십진 카운터의 초기상태를 "0"으로 한 후 클럭 펄스를 넣으면서 십진 수치가 표시되는 것을 확인한다
    리포트 | 13페이지 | 1,000원 | 등록일 2018.03.18
  • 결과보고서 #9 - 순차회로 설계
    위의 그림으로 주어진 ‘동기식 3bit up-down 카운터’를 직접 설계한다.(1) 소스코드library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity A4 ... 실험 목표순차회로인 카운터에 대해 동작 방식과 구조를 이해하고, 카운터의 종류와 특징에 대해 이해한다. ... 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 5. 6논리회로설계 실험 결과보고서 #9실험 9.순차회로 설계1.
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 디지털 실험 텀프로젝트(vending machine)
    좋겠다는 생각이 들었다.입력된 금액을 저장해주는 카운터와 계산된 금액을 출력하는 7-segment display를 이용한다. ... *잔액부족 LED : 1개의 LED를 사용하도록 하며, 입력된 돈이 음료가격보다 작을 때 표시를 한다.스위치를 통해 D-FF을 사용한 카운터를 이용하여 금액을 저장한후 비교기를 이용하여 ... machine 설계설계 기간2016년도 2학기지도교수팀원이름학번☎E-mail이름학번☎E-mail이름학번☎E-mail목표설정설계 목표1.
    리포트 | 3페이지 | 4,000원 | 등록일 2016.11.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:17 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대