• 통큰쿠폰이벤트-통합
  • 통합검색(162)
  • 리포트(128)
  • 자기소개서(33)
  • 이력서(1)

"VHDL설계 및 실습" 검색결과 61-80 / 162건

  • [대충] 결과 VHDL 설명 문법
    XOR gate 실습◆검토 고찰VHDL의 특징과 설계 기법에 대하여 학습하고, 설계를 위한 기본적인 문법을 학습했습니다. ... 디지털공학실험(결과보고서)실험 : VHDL 설명 문법◆실험가. NOT gate 실습나. NAND gate 실습다. ... 처음 VHDL을 사용해봤고 프로그램을 만드는 것도 생소하였기에 익숙하지 않아 시간이 제법 오래 걸렸습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2015.01.17
  • [대충] 예비 VHDL 설명 문법
    디지털공학실험(예비보고서)실험 : VHDL 설명 문법1. 실험 목적VHDL의 특징과 설계 기법에 대하여 학습하고, 설계를 위한 기본적인 문법을 학습한다.2. 실험 이론가. ... VHDL의 특징①장점 : 표준화된 라이브러리, 특정 기술 공정에 무관한 설계방법, 폭 넓은 이용 범위,Top-Down 방식의 설계, 재사용이 가능, 설계 기간 단축②단점 : VHDL ... 실험코딩에서 action일 때 동작적 모델링 기법, actiondata일 때 자료 흐름적 기법.①NOT 게이트 실습library ieee;use ieee.std_logic_1164.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 디시설 - 멀티플렉서, 디멀티플렉서 설계
    따라서 이번 실습에서는 멀티플렉서와 디멀티플렉서의 동작을 이해하고 VHDL 코드를 작성하여 설계한다.실습 내용실습 결과MUX1. 멀티플렉서(MUX: MUltiplexer)란? ... 결과 보고서( 멀티플렉서, 디멀티플렉서 설계 )제목MUX, DEMUX 설계실습 목적멀티플렉서는 여러 개의 입력을 하나의 출력으로 전송한다. ... 이것은 Verilog나 VHDL이 합성되는 과정에서 그 전의 값을 유지하기 위해 래치를 포함시키기 때문이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Pre
    Pre-lab Report전자전기컴퓨터설계실험Ⅱ10주차. ... 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... Lab#09 Application Design Ⅱ Text-LCD Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 디시설 - 수 정렬회로 설계
    결과 보고서( 수 정렬회로 설계 )제목수 정렬회로 설계실습 목적정렬회로는 두 수를 입력받아 크기를 비교한 후 큰 수를 max 7-세그먼트 FND에, 작은 수를 min 7-세그먼트 FND에 ... 크기 비교기와 유사하게 입력되는 두 수를 비교해서 큰 수와 작은 수로 분류한 다음 출력하는 회로이며, 이번 실습을 통해 VHDL의 package 사용 방법에 대해 배워 본다.실습 내용실습 ... 결과크기비교기VHDL코드- 코드해석 :package를 선언함으로써 사용자 함수나 사용자 데이터 타입을 선언할 수 있으며, VHDL에서는 subtype을 정의할 수 있다. subtype은
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • 서울시립대 전자전기컴퓨터설계실험2 제06주 Lab05 Pre
    [실험 2] 1-bit 2 * 1 Mux설계Add SourceSource Code모듈을 지정해주고, 사용할 Input Output을 지정한다. ... SourceSource Code모듈을 지정해주고, 사용할 Input Output을 지정한다. ... 1, 2로 조작할 수 있는 4가지 경우에 따라 bus switch 5, 6, 7, 8의 상태를 각각 Output으로 내보낼 것이다.Reference교안 – Verilog HDL 실습
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 디시설 - 인코더, 디코더 설계
    결과 보고서( 인코더, 디코더 설계 )제목인코더, 디코더 설계실습 목적디코더는 n개의 입력과2^{ n}개의 출력을 갖지만, 인코더는2^{ n}개의 입력을 받아서 인코딩된 n개의 출력을 ... 입력에 우선순위를 두고 우선순위가 높은 입력에 대해서만 인코딩 결과를 출력하는 우선순위 인코더를 설계하고, 우선순위 인코더의 이점을 알아보고 인코더와 디코더의 VHDL 코드 설계방법을 ... 숙달한다.실습 내용실습 결과디코더- 디코더란?
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 서울시립대학교 전자전기컴퓨터설계실험2 제04주 Lab03 Pre
    Lab#03 Verilog HDL, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508 ... , Button Switch 1과 Button Switch 2를 동시에 눌렀을 때에만 LED 1에 불이 꺼질 것임을 예상할 수 있다.Reference교안 – Verilog HDL 실습 ... Pre-lab Report전자전기컴퓨터설계실험Ⅱ4주차.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Post
    Post-lab Report전자전기컴퓨터설계실험Ⅱ10주차. ... 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... Lab#09 Application Design Ⅱ Text-LCD Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 2017 하반기 동부그룹 인프라 엔지니어 자소서, 자기소개서
    FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다. ... 또한 삼성전자서비스에서 2달간 콜센터 상담으로 현장실습을 한 경험이 있습니다. ... 하지만 VHDL은 c언어와 너무나 달랐습니다.
    자기소개서 | 2페이지 | 4,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06_Pre
    Pre-lab Report전자전기컴퓨터설계실험Ⅱ7주차. ... , bus switch 2를 올린 상태에서 button switch 1을 누를 때에만 Output data 값의 변화가 있을 것이다.Reference교안 – Verilog HDL 실습 ... Lab#06 Sequential Logic Design, Flip-Flop, Register and SIPO, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL
    리포트 | 6페이지 | 1,500원 | 등록일 2017.09.04
  • 디시설 - 전가산기, 전감산기 설계
    또한 이 실습을 통해서는 if~then~elsif~end if(VHDL) 형식과 다양한 방법으로 전가산기를 설계하는 법을 배울 수 있다.실습 내용실습 결과전가산기VHDL코드분석* 전가산기란 ... 결과 보고서( 전가산기, 전감산기 설계 )제목전가산기, 전감산기 설계실습 목적전감산기는 한 자리 이진수 뺄셈 시, 전가산기에서 더한 결과 캐리가 발생하는 것과 반대로 아랫자리에서 발생하는 ... 전가산기, 전감산기 설계 과정을 통해 조합논리회로를 VHDL설계하는 방법에 대해 공부한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 2018 KPX전력거래소 전산직,IT직 합격 자소서,자기소개서
    다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 하지만 마감일까지 완성을 못했습니다. ... )프로그래밍에 자신감이 많았던 3학년 때, VHDL로 FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다. ... 채팅 프로그램과 계산기를 구현해보았고, 여러 가지 실습을 해보았습니다.
    자기소개서 | 3페이지 | 8,000원 | 등록일 2017.02.27 | 수정일 2018.05.18
  • VHDL을 이용하여 다양한 state machine 설계
    VHDL 실습ReportState Machine 설계하기제출일2013년 5월 9일최종기한2013년 5월 13일담당교수최 종 성 교수님학과전 자 공 학 과학번2009144029이름우 ... 실습목표 :1) BCD코드의 상태도를보고 VHDL설계해본다.2) Gray코드의 상태도를보고 VHDL설계해본다.3) Dual카운터를 VHDL설계해본다.4) Stepping ... 실습명 : State Machine 설계하기2.
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • VHDL을 이용한 VGA Pattern Generator 설계
    실습목표 :① 3색 세로줄 패턴을 VHDL설계 DE2동작확인하기② 3색 본인이름을 VHDL설계 DE2동작확인하기③ 4색 가로줄그라데이션을 VHDL설계 DE2동작확인하기④ ... 위 세가지를 State Machine으로 설계 DE2동작확인하기3. ... VHDL 실습ReportVGA Pattern Generator제출일2013년 5월 19일최종기한2013년 5월 20일담당교수최 종 성 교수님학과전 자 공 학 과학번2009144029이름우
    리포트 | 16페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Pre
    [실험 2] 1-bit Full Adder 설계Add SourceSource Code모듈을 지정해주고, 사용할 Input Output을 지정한다. ... SourceSource Code모듈을 지정해주고, 사용할 Input Output을 지정한다. ... 따라서 4-bit Full Subtractor가 제대로 동작함을 확인할 수 있다.Reference교안 – Verilog HDL 실습 Lab#04 Combinational Logic
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • SK하이닉스 IT직무 자소서, 자기소개서
    임베디드 시스템 수업과 디지털합성설계 수업. 이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업 이였습니다. ... 무엇이 잘못 됐나 발견을 못하고 결국 처음부터 설계를 하기 로 했습니다. 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. ... (선택 사항)(제한 없음)4학년 여름방학에 삼성전자서비스에서 2달간 에어컨기술상담원으로 현장실습을 하게 되었습니다.
    자기소개서 | 4페이지 | 6,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 2017 한전KPS 전산직 자기소개서
    다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 결국 마감일까지 완성을 못했습니다. ... ㆍ포기하지 않고 업무를 수행한 이유와 그 결과에 대해 기술해 주시기 바랍니다.(600자)3학년 때, VHDL로 FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다. ... (600자)4학년 여름방학에 삼성전자서비스에서 2달간 에어컨기술상담원으로 현장실습을 하게 되었습니다. 문제는 인센티브 때문에 발생하였습니다.
    자기소개서 | 5페이지 | 8,000원 | 등록일 2017.05.01 | 수정일 2017.10.03
  • 2017 하반기 예금보험공사 IT직 자기소개서
    한전KDN 문 호 차장님이 멘토로 지정되었고, 프로젝트 설계부터 프로젝트 일정 관리 등을 지도해 주셨습니다.작품 기능으로는 FPGA보드는 자동차 제어부가 되어 초음파 센서와 적외선 ... 지원자의 노력에도 불구하고 목표를 달성하지 못했던 경험을 기술해 주세요.(300자)3학년 1학기 때 VHDL로 FPGA를 설계하는 수업 이였습니다. ... 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2017.10.23
  • 최신 2017 SEMES 합격 자소서(세메스 합격 자기소개서)
    설계 검사 부분입니다. ... 모교의 특성상 실습이 50%를 차지하여 밤 새우는 일이 많았습니다. ... 이 목표를 위해 공대에 진학하게 되었고 전자공학과에서 반도체와 디스플레이 이론과 실습을 배울 수 있었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2017.09.08 | 수정일 2017.09.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:28 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대