• 통큰쿠폰이벤트-통합
  • 통합검색(162)
  • 리포트(128)
  • 자기소개서(33)
  • 이력서(1)

"VHDL설계 및 실습" 검색결과 101-120 / 162건

  • VHDL을 이용한 산술연산회로설계
    VHDL을 이용한 산술연산회로설계 B반 5조 2009312075 차승현 2013. 04. 16 Introduction 6주차 실습이었던 산술연산회로설계는 Booth곱셈기와 Alu로 ... ALU와 Boost 두 가지를 설계하는 실습이었다. ... Booth ■ 주어진 entity 코드를 사용하여 booth multiplier를 설계한다. ■ Reset(rst) 신호를 통해 각 시그널들을 초기화한다.
    리포트 | 25페이지 | 2,000원 | 등록일 2014.06.10 | 수정일 2022.11.07
  • [6주차] Memory
    과 목 : 논리회로설계실험과 제 명 : RAM 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 : 3학년이 름 :제 출 일 :논리회로설계실험 - 4차 실습 과제7조 ( 유광위 ... Purpose이번 실습시간에는 읽기와 쓰기가 가능한 RAM(Random Access Memory)을 설계해본다. ... RAM을 설계해보기에 앞서 ROM(Read only Memory)의 작동을 이해하고 VHDL을 이용하여 Behavioral level에서 설계를 해본다.1.
    리포트 | 10페이지 | 2,000원 | 등록일 2012.06.30
  • 현대제철 인턴 최종합격 자기소개서
    효율적 기계회로 설계와 제어, 경제적 전력 시스템이 그 예인데, 저는 회로이론, 전자회로, 제어공학, 전력시스템공학 등의 과목들을 집중 수강하므로써 기본이론을 다졌고, 매학기 설계실습을 ... 저는 전공과목을 통해 C언어, VHDL 등 프로그래밍 언어를 공부하였고, 이를 바탕으로 제철소의 공정을 최소한의 인력으로 가동될 수 있도록 노력하겠습니다. ... 진심어린 말이 담긴 한 마디로 동료 선배님들과 소통하며 협력하여 나가겠습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2016.01.21
  • 삼성전자 메모리 사업부 합격 자기소개서(자소서)
    특히 논리회로설계 실습에서, VHDL을 이용한 7segment 실습은 제 관심을 흥미로 바꿔 놓았습니다. ... 본인의 취미/특기, 존경인물 존경하는 이유를 자유롭게 작성하여 주시기 바랍니다.취미/특기 : 기타연주/축구존경인물 : 베컴존경이유 : 세계 최고의 선수 이면에 숨겨진 노력과 헌신을 ... 그 이후, 반도체 공학, 전자재료, VlSI설계 과목들을 수강하면서 반도체 엔지니어에 대한 열정은 더욱 굳어졌습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2017.06.09
  • 4개의 입력과 1개의 출력을 가지는 회로를 여러 가지 방식으로 표현하고 이를 VHDL로 표현
    설계 배경 목표AND GATE는 곱셈을 수행하는 GATE이다. 모든 입력이 1일 때는 1이 출력되지만, 그 외의 경우에는 0이 출력된다. ... 토의이번 과제4를 함으로써 평소에 실습시간에 실습한 것 외에도 직접 문제를 제시하고 문제 제시에 의거한 입력 4개와 출력 1개를 설계하여 다양한 방식(And, Or, Not Gate ... VHDL 코드 구성(3) Decoder를 이용한 회로의 VHDL 표현 ① Decoder 설계로만 코딩이 끝나는 것이 아니라, 코딩 이후 이전에 설계한 회로와 같은 논리값을 가지는 몇
    리포트 | 34페이지 | 7,000원 | 등록일 2010.06.24
  • [VHDL] 동기식 10진 카운터
    목 적VHDL을 이용하여 동기식 10진 카운터 회로를 구현함으로써 순차회로에 대한 VHDL 설계 방법을 익히고 카운터 회로의 동작을 이해한다.2. 기초지식(회로도)3. ... 실습 보고서실험조: 8조조원 : 최문희, 최윤정0. 제 목동기식 10진 카운터1. ... 설비 준비사항MAX+PLUS II 사용법HBE?COMBO 트레이닝 키트의 사용법4.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.11.23
  • 2017상반기 한전 KDN 전산직 자기소개서
    VHDL(VHSIC Hardware Description Language)로 FPGA(field-programmable gate array)를 설계하는 전공수업 이였는데 저희 팀은 ... 특히 공기업은 사기업보다 신뢰가 더 중요하다고 생각합니다.올해 여름방학에 계절학기로 현장실습을 한 경험이 있습니다. ... [직무경력 경험기술서]1.
    자기소개서 | 4페이지 | 8,000원 | 등록일 2017.02.08 | 수정일 2017.10.03
  • [5주차] flip flop
    Multiflex(Mux) 설계이 름 :실 습 조 : 7조실습날짜 :1. ... Purpose▶ Latch와 Flip-Flop의 차이점을 알고, VHDL을 이용해 간단한 Flip-Flop을 설계할 줄 안다.▶ D Flip-Flop의 간단한 작동원리와 구성요소에 ... Latch▶ 시간적으로 변화하는 레지스터 카운터,데이터 신호 버스상의 디지털 정보를 원하는 시각에 판독하여 등록하는 동작,또는 그 회로.▶ Asynchronous(비동기) 상태이며
    리포트 | 17페이지 | 2,000원 | 등록일 2012.06.30
  • 2017 LG이노텍 광학솔루션 HW/SW 자기소개서, 면접대비, 면접후기
    FPGA 설계하는 부분에서 VHDL코딩은 수업시간에 배운 적이 있어 어렵지 않았습니다.어려웠던 부분은 openCV를 이용하여 이미지 처리하는 부분이 가장 어려웠습니다. thresholding ... 이유를 구체적으로 기술해주십시오 500자LG이노텍은 「출근이 기다려지는 회사」 이지만 저는 「출근시키고 싶은 사람」입니다.제가 LG이노텍에 지원한 이유는 모바일과 차량의 핵심 소재 ... 사고 / 새로운 시도, 집요한 실행 / 정직과 신의) 중 하나를 선택하여 이를 실천한 본인의 성공 또는 실패 사례를 기술해주십시오. 500자삼성전자서비스에서 에어컨기술상담원으로 현장실습
    자기소개서 | 12페이지 | 15,000원 | 등록일 2017.05.13 | 수정일 2018.06.19
  • VHDL기초강의
    병행 처리문 VHDL의 구조적(structural) 표현 방식 Component 문 Generate 문*목차조합 회로(combinational circuit) 설계 실습 Adder ... Multiplexer Decoder 순차 회로(sequential circuit) 설계 실습 F/F, Latch Shift register Counter Stop watch Max ... Plus Ⅱ를 이용한 VHDL 프로그래밍 Digital training kit을 이용한 실습*VHDL이란?
    리포트 | 106페이지 | 1,000원 | 등록일 2010.05.11
  • 2-port OR gate
    FPGA 실습, 이준성 외 3인 공저, 2008년, 북스힐)3. ... 설계 배경 목표이론적으로 배운 기본적인 VHDL의 개요를 알고 VHDL 프로그램 (Xilinx ISE, ModelSim XE)의 사용법을 습득한다. 2 Port AND Gate를 ... 설계 내용 방법4.
    리포트 | 17페이지 | 1,000원 | 등록일 2010.06.24
  • 2 port nand xor gate 설계
    설계(실험) 배경 목표VHDL 을 이용하여 NAND Gate , XOR Gate , 3입력 AND Gate 를 설계 한다. - 설계방법 : Data flow or Behavioral ... 토의이번 설계(실험)은 VHDL을 이용하여 2 Port NAND GATE, XOR GATE, 3 Port AND GATE를 설계하는 실습이었다. ... 저번 실습보다는 좀 더 나았지만, 아직도 프로그램을 이용하여 VHDL설계하는 것이 익숙치 않았기 때문에 저번 주 강의안을 참고하면서 실험을 진행하였다. 2주차 실습의 연장선이었던
    리포트 | 24페이지 | 1,500원 | 등록일 2010.09.09
  • xilinx를 이용한 FSM설계
    설계 배경 목표• State Machine과 Mealy, Moore Machine의 구조에 대해 이해한다. • 3-state Mealy 상태도의 VHDL Modeling Example을 ... 설계 내용 방법3. 설계 내용 방법4. 설계 결과현재상태(state)가 00에서 입력(X) 01이 인가되면 차기상태(z) 01이 출력. ... 참조하여 그림 과 같은 4-state Mealy 상태도를 VHDL설계한다. • 결과 Simulation 파형은 현재 상태와 출력 값을 명시한다는 전제 하 에 자율적으로 표현한다
    리포트 | 11페이지 | 1,500원 | 등록일 2010.06.24
  • FPGA HBE-COMBO, VHDL를 이용한 CARGAME 최종보고서
    VHDL 소스로 설계를 시작하였습니다.부품 하나하나 설명을 하겠습니다.▣ LCD? ... 디지털 시스템 VHDL을 이용한 CAR_GAME 설계1. 제작동기▶ FPGA를 이용한 HBE - COMBO 시리즈로 무엇을 할 수 있을까? ... 제작과정▶ kit로 실습하는 설계이기 때문에 학교 실습실을 빌려 제작을 하였으며 별도의 하드웨어 작업 없이 HBE-COMBO kit를 이용하여 Quartus2 7.1 프로그램을 이용하여
    리포트 | 111페이지 | 1,000원 | 등록일 2010.06.16 | 수정일 2017.07.03
  • 반가산기(Half Adder)와 전가산기(Full Adder)의 설계
    실습으로는 반가산기를 토대로 전가산기(Full Adder)를 VHDL로 구현한다. 전가산기란 1비트의 2진수를 3개 더하는 논리회로이다. ... 설계 내용 방법(위)자료흐름적 표현으로 코딩한 전가산기(Full-Adder)의 코드 (우측)Testbench에 사용한 전가산기의 코드4. ... 설계 배경 목표이번 주에는 프로세스(Process)문, 동작적 표현방법(Behavioral Representation), 자료 흐름적 표현방법(Dataflow Representation
    리포트 | 13페이지 | 1,500원 | 등록일 2010.06.24
  • 반가산기 전가산기 설계
    토의이번 설계(실험)은 VHDL을 이용하여 반가산기(Half Adder)와 전가산기(Full Adder)를 설계하는 실습이었다. ... 설계(실험) 배경 목표VHDL 을 이용하여 HALF ADDER (반가산기) 를 설계한다. - 설계방법 : Behavioral Modeling - 시뮬레이션 방법 : Test bench를 ... 하지만 아직도 프로그램을 이용하여 VHDL설계하는 것이 조금은 익숙치 않았기 때문에 지금까지의 강의안들을 참고하면서 실험을 진행하였다.
    리포트 | 17페이지 | 2,000원 | 등록일 2010.09.09
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    미국 정부, EU, 일본 등▶ 우수하고 광범위한 하드웨어 기술 능력▶ 디지털 설계 ▶ 특정 설계 기술 또는 공정 기술과 무관▶ 설계 교환 설계 재사용에 용이▶ 설계 시간의 단축 ... 실험 이론지식 VHDL 이란 무엇인가.2-1> VHDL2-2> VHDL의 기본 숙지사항2-2> VHDL설계단위 정리3. ... 이런 기본적인 이론 지식을 바탕으로 이번 실습을 진행해 나가보자.이번 실습을 진행하기 위해서는 BCD code와 Excess-3 code에 대해 정확히 알고 있어야 한다.3.
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • 응용논리회로 텀프로젝트 제안서
    응용논리설계 실습Final Term Project(디지털 시계 설계)1. ... 또한 지금까지 응용논리회로설계 수업 시간에 배운 다양한 디지털 회로 소자의 VHDL표현을 가장 잘 활용 할 수 있는 것 중 하나가 디지털 시계제작이라고 판단되어 이번 프로젝트를 ... Term Project 주제 설계설명- 디지털 시계 설계- 시(Hour), 분(Minute), 초(Second)를 가지는 시계를 표시 설정 할 수 있고, 월(Month), 일
    리포트 | 4페이지 | 1,000원 | 등록일 2010.10.13
  • 2018 한국인터넷진흥원, KISA 기술분야 자기소개서,자소서 대졸
    ’ 과목은 VHDL(VHSIC Hardware Description Language)로 FPGA(field-programmable gate array)를 설계하는 전공수업으로 저희 ... .자율적으로 솔선해서 행동으로 옮겼을 때의 일과 그에 따른 결과에 대해 기술해주십시오. (1000byt이내)작년 여름방학에 삼성전자서비스에서 고객응대를 하는 콜센터 상담원으로 현장실습을 ... 어디서 잘못 된 것인지를 찾을 수가 없어서 처음부터 다시 설계를 하고 코딩을 해야 했습니다. 또 다른 문제는 시간이 부족하여 다른 과목 시험공부도 할 시간이 부족했습니다.
    자기소개서 | 4페이지 | 8,000원 | 등록일 2017.03.12 | 수정일 2018.05.18
  • 2-port Nand,Xor, 3-port AND gate의 설계
    설계 배경 목표지난 주에 실습하였던 2 port AND Gate와 OR Gate를 바탕으로 첫 번째 설계로는 2 port NAND Gate와 XOR(Exclusive OR) Gate를 ... 관련 기술 이론(4) 객체(Object) VHDL에서 신호, 변수, 상수와 같이 어떤 값을 가지고 있는 것을 객체라고 한다. ... 설계 내용 방법3.
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:06 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대