• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,098)
  • 리포트(1,875)
  • 자기소개서(182)
  • 논문(21)
  • 시험자료(14)
  • 방송통신대(3)
  • 서식(1)
  • ppt테마(1)
  • 노하우(1)

"모터제어 실험" 검색결과 81-100 / 2,098건

  • 기계공학응용실험 모터 제어 실험 예비보고서
    위의 식에서 제어 파라메터 Kp,Ki,Kd를 이득값 혹은 게인(gain)이라고 하고, 적절한 이득값을 수학적 혹은 실험적/경험적 방법을 통해 계산하는 과정을 튜닝(tuning)이라고 ... 한다.②모터의 종류와 특성상용되는 모터의 종류와 구동방법 및 특성에 대해 서술하시오.종류사진종류와 구동방법 및 특성DC 모터※ DC 모터의 특성 : C 모터는 큰 기동토크, 입력전압의 ... 이렇게 해서 브러시리스 모터는 회전이 계속되는 것이다.인덕션모터※인덕션 모터의 원리는 AC 모터의 일종으로 스테이터부에 발생하는 회전자계 와 로터부에 발생하는 유도자계와의 상호작용
    리포트 | 5페이지 | 1,000원 | 등록일 2012.01.10
  • 자동제어실험_결과_Step motor_3주차
    실험제목 : Step motor3. 실험목적- 타이머 / 카운터 인터럽트의 개념을 이해한다.- 타이머 / 카운터 인터럽트와 관련된 레지스터에 대해 이해한다.4. ... 실험결과※ 스텝모터에 반지름 3cm의 바퀴가 달려 있을 때, 90cm를 정확히 5초에 도달하도록 하는 프로그램을 작성하라. ... = {0x05, 0x06, 0x0a, 0x09}; // 2상 여자방식의 phase 설정static int i=0; // 스텝 변수static char gg=1;//while문을 제어하기
    리포트 | 9페이지 | 1,000원 | 등록일 2011.07.17
  • [기계공학응용실험] DC 서보 모터 제어 실험
    서보 모터브러시 모터 (Brushed Motor)브러시리스 모터 (Brushless Motor)제어구조가 간단하고 쉽다제어구조가 복잡하고 어렵다단상으로 제어한다3상을 제어한다회전 ... 프로그램제어제어해야할 모터에 특성 및 제어 방법을 알기 위해서는 모터의 구성 및 특성을 정확히 숙지해야 한다.2 실험 내용 및 이론적 배경2.1 Faraday 의 법칙1830년경 ... 1 실험 목적이번 장에서는 첫 번째 다양한 모터와 센서들의 유기적인 조합으로 동작하는 구동체를 제어하고 운영함으로써 로봇의 구동 및 응용을 매뉴얼제어와 프로그래밍 제어를 통하여 실습을
    리포트 | 5페이지 | 1,000원 | 등록일 2011.01.22
  • 자동제어실험_결과_Step motor_1주차
    결과분석 및 토의이번 실험에서는 스텝 모터의 기본적인 구동 방식에 대해서 알아보았다. ... 이렇게 상을 결정을 하여서 실험에서 1상, 2상, 1-2상 여자 방식을 확인 할 수가 있었다. ... .▷ 위의 코드를 각각의 상으로 돌려주게 되면 모터는 시계방향으로 움직이게 된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2011.07.17
  • 자동제어실험_결과_Step motor_2주차
    실험제목 : Step motor3. ... 실험결과모터가 무한히 회전을 하면서, 외부 인터럽트가 걸리면, 회전 방향을 바꾸도록 하는 프로그램은 다음과 같다. ... 예를 들면, 두 개의 외부 인터럽트가 동시에 들어왔을 때, 외부인터럽트 0번과 1번이 동시에 걸린다면, 인터럽트 순위가 높은 0번 인터럽트가 먼저 실행이 된다.- 외부 인터럽트 제어
    리포트 | 7페이지 | 1,000원 | 등록일 2011.07.17
  • 자동제어실험 DC,서보,스테핑 모터
    Stepping Motor실험[1] DC Motor1. ELVIS를 이용하여 PWM 신호를 만들어 모터를 회전 시킨다.2. ... 하지만 이러한 오차는 실험에서도 볼 수 있듯이 매우 작기 때문에 실험할 때 오차가 거의 생기지 않은 것을 확인할 수 있다.실험[3] Stepping Motor1. ... 실험목적1. DC Motor를 이용해 duty 비에 따른 이득을 비교해본다.2. 주파수를 이용해 Servo Motor의 동작 각도를 측정해본다.3.
    리포트 | 7페이지 | 1,000원 | 등록일 2010.03.20
  • 모터제어 기초전기전자 실험 예시
    그리고 디스크형과 유사한 프린트형이 있다.2) DC 모터제어코드 해석 및 작성DC 모터를 이용하여 제어하는 방법으로는 크게 ON/OFF 제어, 가변속 제어 그리고 토크제어방법 등 ... 이들 특성에서 알 수 있는 것은 회전수나 토크를 일정하게 하는 제어를 하려는 경우에는 전류 를 제어하면 양자를 제어할 수 있다는 것을 나타내고 있다.(4) DC 모터의 결점· DC ... 모터 구동에는 일정한 순서에 의한 권선 전류의 흐름을 제어해줄 필요가 있다.
    리포트 | 7페이지 | 2,000원 | 등록일 2010.01.20
  • 서강대학교 자동제어개론 모터실험 결과보고서
    자동제어개론모터실험 보고서제 출 일 : 2012. 05. 31.담당교수 :학 과 :성 명 :1. 실험 제목기본적인 폐회로 속도 제어2. ... 실험 제목폐회로 위치 제어2. 실험 목적증폭기의 이득에 따른 위치와 불감대의 정의를 이해한다.3. ... 실험 목적개회로 시스템과 폐회로 시스템의 속도제어 특성의 차이를 이해한다.3.
    리포트 | 6페이지 | 1,000원 | 등록일 2013.04.12
  • 부산대학교 기계공학부 기계공학응용실험 레포트 (모터 제어 실험)
    스위칭에 의한 고주파 잡음의 발생, 모터의 진동음, 브러시 정류자의 손상 등의 문제가 있지만 현재 모터 제어는 주로 PWM(Pulse Width modulation) 제어 방식으로 ... . < 중 략 >PWM제어법 트랜지스터를 스위칭시켜 모터에 인가되는 전압의 On/Off 시간 비율, 즉 Duty rate를 변화시켜 평균값을 제어하는 방법이다. ... 달라짐을 알 수가 있다.0.7ms = -90° , 1.5ms = 0°, 2.3ms = 90°이다 이때 이 pulse width와 각도와는 선형적인 관계가 형성되고, 그 각도에 따른 제어공식이
    리포트 | 12페이지 | 2,000원 | 등록일 2013.04.30
  • 국민대학교 자동차융합실험I - 6. PWM을 이용한 스텝모터 제어
    주파수 응답 특성 ※ 주파수는 자동차융합실험 69pg 표를 기본으로 선형보간법을 이용해 계산2. ... 실험에서 측정한 주파수 응답 특성 데이터를 이용하여 측정한 값의 관계 대해서한 줄로 간단히 쓰시오. ... PWM 주파수는 전 값에 약 171.11%, 448.76%만큼 증가한다. - PWM 주파수와 모터 속도간의 관계위 표를 바탕으로 본다면 주파수가 증가하는 동안 모터 속도는 증가한다.
    리포트 | 4페이지 | 3,000원 | 등록일 2015.03.23
  • 자동제어실험2 (결과레포트) - 모터테스트, LED테스트
    실험제목: 모터테스트, LED테스트3. ... 실험목적:(1) 주어진 랩뷰 예제를 보고 똑같은 동작을 하도록 프로그래밍 해본다.(2) MIMO와 Pendulum이 이 프로그램을 통해서 어떻게 제어될지 생각해보자.4. ... 결과 및 분석1) 모터테스트Frontpanel기본블럭다이어그램먼저 기본프로그램을 설명하면 기준값 설정버튼을 누르면 그 순간의 슬라이드값이 저장되도록 설렉트문을 만들었고 입력횟수를 구하기
    리포트 | 4페이지 | 1,000원 | 등록일 2012.11.28
  • 자동제어 개론 - 모터 실험
    < 자동제어 실험 : 모터제어 >1. 제목 : 기본적인 폐회로 속도 제어2. ... 목적- 모터의 속도 제어를 통해 Feedback이 있는 경우와 없는 경우의 동작 제어 원리를 알고, 동작을 확인 한다.3. ... 결과와 ⑧~⑨항 및 ⑩~⑪항의 실험 결과 어떤 차이가 있는지 고찰한다. (⑧~⑪항의 실험은 폐회로가 이루어진 속도제어 실험을 한 것이다.4.
    리포트 | 10페이지 | 1,500원 | 등록일 2008.06.23 | 수정일 2019.04.12
  • 자동제어실험 motor Control 결과보고서
    Motor(DC, Servo, Stepping Motor) Control 실험 결과 보고서DC Motor실험 목표- ELVIS를 이용하여 PWM 신호를 만들어 모터를 회전 시킨다.- ... 입력 펄스를 변화시키면서 모터의 위치를 측정한다.지금까지 실험했던 모터들과 달리 Stepping motor는 컨트롤러가 필요했다. ... 위의 세가지 실험을 진행하면서 Motor Control 에 대하여 이론적 뿐 아니라 실제적으로 알게 되었고 지금까지 접해왔던 DC모터와 다를 Servo모터, Stepping모터를 control
    리포트 | 11페이지 | 1,500원 | 등록일 2010.03.20
  • 논리회로설계실험 스텝모터 제어기의 설계
    ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity motor2 ... :integer range 0 to 25000;signal phase_lclk : std_logic;signal motor_rcnt : integer range 0 to 25000 ... _rot;architecture RoV_Lab of motor2_rot issignal key_in_l : std_logic_vector (1 downto 0);signal key_in_r
    리포트 | 6페이지 | 3,000원 | 등록일 2010.12.22
  • [기계공학응용실험] 9장 DC 서보 모터 제어 실험
    실험목적이번 장에서는 첫 번째 다양한 모터와 센서들의 유기적인 조합으로 동작하는 구동체를 제어하고 운영함으로써 로봇의 구동 및 응용ㅇ을 매뉴얼제어와 프로그래밍 제어를 통하여 실습을 ... 실험내용1) 바퀴구동체 실습(1) 매뉴얼 제어실습바퀴구동체의 매뉴얼 테스트를 통하여 모터 및 센서의 원리를 미리 학습해보기로한다. ... 프로그램제어제어해야할 모터에 특성 및 제어방법을 할기위해서는 모터의 구성 및 특성을 정확히 숙지해야 한다.2.
    리포트 | 4페이지 | 1,500원 | 등록일 2010.12.11
  • [기계공학 응용실험]모터제어 실험
    실험 장치실험 장치 구성: DC Motor (MAXON), DC Motor Driver, dSPACE system (DSP board)3. ... 모터 제어 실험1. 실험 목적자동제어시스템과 로봇에서 많이 사용되는 DC모터제어 시스템에 대해 이해하고 Open loop 제어와 Closed loop 제어에 관해 이해한다. ... DC Motor (MAXON), DC Motor Driver, dSPACE system (DSP board) 으로 구성되어 있는데, 이들이 하는 역할은 다음과 같다.먼저 DC 모터
    리포트 | 12페이지 | 1,500원 | 등록일 2007.11.03
  • 국민대학교 자동차공학실험 - PWM을 이용한 스텝 모터 제어 보고서
    자동차공학실험 IPWM을 이용한 스텝 모터 제어 보고서학번성명편성수강신청1. ... 본 실험에서는 PWM을 이용하여 스테핑 모터제어하여 모터의 특성을 파악할 것이다.2) 원리PWM(Pulse Width Modulation)은 펄스 폭 변조라고 하는데 모터 제어나 ... 실험목적본 실험에서는 PWM을 이용해서 스텝모터를 구동해 보고, 주파수의 변화에 따른 모터의 동작특성을 관찰하며 이론적 특성과 실험적 결과를 비교 검증해보고자 한다.
    리포트 | 3페이지 | 1,500원 | 등록일 2014.03.09
  • [기계 공학 응용 실험] 9. DC 서보 모터 제어 실험 (A+자료)
    실험 목적첫 번째 다양한 모터와 센서들의 유기적인 조합으로 동작하는 구동체를 제어하고 운영함으로써 로봇의 구동 및 응용을 매뉴얼제어와 프로그래밍제어를 통하여 실습을 수행한다. ... 실험결과 및 고찰■ DC모터의 토크를 결정하는 식을 유도 하시오.여기서 축을 중심으로 하는 힘의 모멘트는 상하로 향한 힘 F[N]와 양 힘간의 거리 D[m]와의 곱인 F? ... 스테핑 모터스테핑 모터란 위치결정 제어를 위한 구동원으로써, 일정한 각도 만큼 움직이는 펄스신호를 보내서 작동시키는 모터이다.
    리포트 | 13페이지 | 1,500원 | 등록일 2011.10.04
  • 전자회로실험 실험9 dc 모터 속도 제어 및 측정 결과보고서 전반부
    실험 목적(1) DC 모터의 특성을 이해한다.(2) 555 타이머를 이용한 PWM 변조 방식을 이해한다.(3) PWM 변조를 이용한 DC 속도 제어 기법을 이해한다.2. ... 결과보고서주제9.DC 모터 속도 제어및 측정20080653211조권태영[PWM을 이용한 DC모터 속도 제어]1. ... 오차 1%이내= 그림 3.1.19의 회로도를 구성 한 후, Modulation input값에 따른 듀티비를 측정하고, PWM의 펄스폭을 조절하여 DC 모터의 회전 속도를 제어하는 실험입니다
    리포트 | 7페이지 | 1,500원 | 등록일 2013.04.25
  • 전자회로실험 실험9 dc 모터 속도 제어 및 측정 예비보고서 전반부
    실험 이론DC 모토의 속도 제어기는 요구하는 속도를 만족시키기 위한 신호를 생성하고 이를 이용하여 모터를 구동한다. ... 실험 목적(1) DC 모터의 특성을 이해한다.(2) 555 타이머를 이용한 PWM 변조 방식을 이해한다.(3) PWM 변조를 이용한 DC 속도 제어 기법을 이해한다.2. ... 실험 이론1.
    리포트 | 15페이지 | 1,500원 | 등록일 2013.04.25
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:23 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대