• 통큰쿠폰이벤트-통합
  • 통합검색(287)
  • 리포트(221)
  • 자기소개서(64)
  • 논문(1)
  • 이력서(1)

"VHDL로 FPGA" 검색결과 81-100 / 287건

  • VHDL을 이용한 리듬게임
    VHDL 관련 컴퓨터 실험 과목에서 A+ 받은 작품입니다.아래 내용은 알집 안에 첨부된 설명서 입니다.이 외에도 소스, 관련 사진, 영상 등 자료가 포함되어 있습니다.source는
    리포트 | 4,000원 | 등록일 2016.04.12 | 수정일 2016.05.17
  • FPGA 기반 DSP - User Component 생성 및 구동 방법
    User Component 생성7-Segment User Component를 VHDL 로 생성시킴Qsys Tool을 이용하여 VHDL Component 로 변환- 생성된 User Component ... FPGA 기반 DSPProject. User Component를 만들고 구동시키자! ... 을 이용하여 키보드 입력을 Input으로 사용하였다.같은 방법으로 빠른 연산이 필요한 복잡한 알고리즘을 FPGA로 구현하고 이를 이용하여 ECU를 구성한다면 CPU의 구동 효율을
    리포트 | 3페이지 | 1,500원 | 등록일 2015.05.14
  • VHDL를 활용한 EE ATM 설계하기
    충전 구현 과 수수료 설정, LCD구현1주차(11/12) - ATM구현에 필요한 초기 state를 설정하여 메인함수를 구현하고 초기상태와 메뉴상태, 비밀번호 입력 상태를 구현하여 FPGA를 ... 이 역시 VHDL 코드에서 활용 되었는데 먼저 수평으로 CLK를 분주하고 그 CLK을 다시 수직으로 분주하여 하나의 수평 CLK에 모든 수직값이 표시되도록 설정하였고 이 값에 RGB값을 ... 20091468) : main, step motor, tft lcd, input password 함수 구현, 최종 수정날짜내용1주차(11/12~11/18) - 전체적인 메인함수를 VHDL
    리포트 | 20페이지 | 2,000원 | 등록일 2013.08.11
  • [9주차] DIGITAL CLOCK
    이를 위해, DIGIT 표시방식인 SEGMENT와 FPGA 보드에서 나오는 4Mhz의 오실레이터clock의 분주를 이해하고 Xilinx tool과 RoV-Lab의 연결을 통해 실제로 ... VHDL Source------------------------------------------------------------------------------------------ ... Rov-Lab 3000LoV-Lab 3000은 회로 구현 및 실제 동작 테스트에 이르는 전과정에 필요한 Kit와 각 모듈을 분리 및 재조립하여 역동적인 실습이 가능한 로봇으로 구성되어 있어 VHDL
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.30
  • 논리회로설계실험 기본게이트설계 예비보고서
    /wiki/FPGA>두산백과 doopedia “AND, NAND, OR, NOR, XOR 게이트” Retrieved march 11, 2017, from “01_VHDL의+개요”, ... 동작적 모델링 (Behavioral Modeling)회로의 내부 구조 대신 회로가 무엇을 수행할 것인지에 대한 기능을 기술하는 VHDL의 표현 방법이다. ... 등으로 표현된 것을 기능적 또는 수학적인 알고리즘을 사용하여 시스템의 동작을 기술한다.자료 흐름 모델링 (Dataflow Modeling)동작적 모델링 보다 한단계 낮은 레벨의 VHDL
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • VHDL 8층 엘리베이터 구현 코드 및 보고서, 발표자료
    Elevator Controller를 VHDL 코드로 작성, 그 동작을 검증 한 후 FPGA Kit 로 옮겨 Controller의 Function적 동작을 보여주는 것이 목적이다. ... 개발에 이용 된 Tool 들은 다음과 같다Modelsim 6.1b(VHDL 코드 작성 및 Function적 동작 검증에 이용)Quartus 4.0(Kit에 HDL 코드를 이식하기
    리포트 | 5,000원 | 등록일 2012.12.06
  • FPGA를 이용한 모니터 출력 프로그램 레포트 설계
    1.설계목표- 주어진 조건하에 FPGA 키트를 이용하여서 프로그램설계- 실생활에 응용될 수 있는 설계- 강의시간에 배운 것을 토대로 하여서 VHDL을 이용한 프로그램설계2.설계선택- ... 그동안 여러 가지를 배우고 VHDL 프로그램을 작성했었다. ... 작성된 프로그램을 테스트 후 쿼터스 프로그램으로 컴파일을 한 다음 FPGA 키트에 다운로드후 모니터 출력 확인- 출력된 모니터 문자표현등 오류 파악과 보완할 점 등 세부표현
    리포트 | 9페이지 | 3,000원 | 등록일 2010.08.08 | 수정일 2023.02.06
  • VHDL을 활용한 도트매트릭스 문자 출력
    제목Dot_Matrix를 활용한 LED 문자판▣개발내용사용 부품 : 8*8도트 매트릭스, 34핀 확장 커넥터, 34핀 확장 케이블,점퍼와이어, 브레드보드, 저항 (330Ω),Level-up QB-FPGA200EP2C-USB ... 전력소모는 정적 방식에 비해서 훨씬 절감이 되지만, 소프트웨어적으로 제어를 하고 수정을 해야 되서 VHDL 의 지식이 부족한 사람들은 어려움을 느낀다. ... Starter Kit (대여)개발기간 : 2013. 10. 28(월) ~ 13. 12. 23(월)대여받은 VHDL 실습용 키트에 3군데 확장 커넥터 중에 한곳에 34핀 커넥터와 케이블을
    리포트 | 14페이지 | 1,000원 | 등록일 2014.05.15 | 수정일 2014.05.21
  • verilog HDL를 이용한 택시미터기 구현
    택시미터기 기능 설명1. 택시의 상태에 따라 카운트를 감소시키는 주파수가 변화한다. 1) 택시가 멈춰있을 때 - 1Hz 2) 택시가 저속일 때 - 50Hz 3) 택시가 고속일 때 - 100Hz2. 시간이 낮과 밤일 때 감소하는 카운트 숫자가 변화한다...
    리포트 | 9,900원 | 등록일 2015.12.16 | 수정일 2020.11.12
  • [논실]예비11, 200820126, 안효중, 정주익, 4조
    FPGA에 프로그램을 주입시키기 위하여 사용되는 언어로 VHDL을 사용할 수 있다. ... 실습 예비보고서 >[1] 실험 목적FPGA가 무엇인지 알아보고, 지금까지 했던 논리회로 실험을 FPGA를 이용해 구현하여 실제 동작을 확인하여 본다.[2] 주요 이론① VHDL을 ... 이번 실험에서는 VHDL과 Logic symbol을 이용하여 원하는 프로그램을 작성해서 FPGA 보드를 통해 브레드보드와의 연결로 회로를 구성한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.02.29
  • 아주대 논리회로실험 설계 8by8 multiplier 결과보고서
    시스템안으로 VHDL 코드 uploading 방법 소개 및 실제 구현 데모1주차 교육 일정은 ‘간단한 덧셈기 VHDL 코드 생성’ 하고 FPGA 업로딩 방법에 대하여 알아보는 시간이었으나 ... 설계 목적- 8비트 2진수 입력 2개를 받아들여 곱셈을 하고 16비트 2진수의 결과값을 만들어 내는 곱셈기 구현 (8bit 입력의 Multiplier HDL(VHDL) 구현 및 FPGA ... Quartus 프로그램을 이용하여 FPGA board에 Uploading하였다.- FPGA만으로는 결과를 확인 할 수 없어서 FPGA 보드를 bread board에 확장하여 결과를
    리포트 | 18페이지 | 1,000원 | 등록일 2013.11.29
  • FPGA 보드를 이용한 Baseball, Up&Down게임
    응용논리회로(Term Project)1.주제 : FPGA 보드를 이용한 Baseball, Up&Down게임2.Baseball 게임이란?
    리포트 | 26페이지 | 3,000원 | 등록일 2013.10.01
  • VHDL에 의한 논리 시스템 해석 및 설계
    이 Hardware로 만드는 Software가 Synthesis인데 각 FPGA나 ASIC Library에 맞게 Hardware회로(반도체 회로 : Logic Schematic)로 ... VHDL을 Standard화 시킴으로써 본격적으로 반도체 회로 design에서 VHDL을 사용하는 새로운 국면을 맞게 되었다.VHDL을 표준화시킨 목적은 반도체 설계자로 하여금 보다 ... 맞게 고친 약간 변형된 1076 VHDL을 지원하였다.마지막으로 VHDL은 Hardware화 하는 것이 가장 중요하다.
    리포트 | 38페이지 | 5,000원 | 등록일 2017.12.30
  • 2018 포스코ICT 인턴, 자기소개서, 자소서, 솔루션 설계 및 SW개발
    하지만 실패를 맛 본 건 VHDL로 FPGA를 설계하는 수업 이였습니다. 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 하지만 마감일까지 완성을 못했습니다. 욕심이 너무 컸던 겁니다. ... ‘FPGA와 라즈베리파이를 이용한 지능형 자동차’라는 타이틀을 가지고 한이음ICT공모전에 참가하였습니다.
    자기소개서 | 3페이지 | 6,000원 | 등록일 2018.05.17
  • [디지털논리회로] 프로젝트 - 고속 동작 덧셈기 설계
    (Quartus에서는 VHDL 코드 상의 지연시간이 아닌 target FPGA의 지연시간으로 시뮬레이션되므로 두 덧셈기에 대해서 같은 시뮬레이터를 사용한다.)4. ... 또한 VHDL을 사용해 덧셈기를 설계함으로써 VHDL의 코딩 방법을 익히고 동작 확인 과정을 통해 시뮬레이션 툴의 사용법을 익힌다.3. ... 이때 덧셈기는 32-비트의 입력과 출력을 가지도록 한다.2) 설계 내용- VHDL 언어를 사용하여 설계한다.
    리포트 | 19페이지 | 1,000원 | 등록일 2014.05.06
  • 논리회로 설계 실험 계산기 설계
    entity가 어떤 구조로 실행되는지 생각해봄으로써 VHDL 프로그램의 구조를 좀 더 폭넓게 이해 할 수 있다.2. ... , FPGA_CLK)beginif FPGA_RSTB = '0' then ……①for i in 0 to 31 loopreg_file(i) ... ☞FPGA_RSTB : LCD에 표시된 내용을 지우고, 계산기를 reset 하는 역할☞FPGA_CLK : 오실레이터의 4MHz clock이 입력되는 port☞load_operand1
    리포트 | 21페이지 | 1,000원 | 등록일 2009.07.10
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Pre
    따라서 하나의 도트마다 자체 발광하여 출력하는 장치라 할 수 있다.Write from FPGA to LCDRead from LCD to FPGA명령어VFD는 명령을 받아서 실행하기까지 ... – Verilog HDL 실습 Lab#09 Application Design Ⅱ Text-LCD Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 ... 전송한다.ToolsLaptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • LG하우시스 경력 PPT 자료
    설계 : 영상 인터페이스 입출력 설계 , Frame Buffer 등의 VHDL 설계 - 회로 설계 : Spartan6(LX150), DDR3, MCU(STM32F4x), Voltage ... DisplayPort , VbyOne Audio II2 Interface (using UDA1341 Codec) AMP LM4863 1W Speaker Tool OrCAD 3/5보유 기술 VHDL ... Air ±15kV), 온습도 (-25~75 도 /95%), - RF 성능 시험 : RSSI(Agilent-E5515C), 50 옴 매칭 (HP-8753D) 개요 - ALTERA FPGA
    자기소개서 | 5페이지 | 3,000원 | 등록일 2018.12.19 | 수정일 2019.01.23
  • VHDL실습 상태머신 설계
    마이컴에서 FPGA로 trigger신호를 보내면 FPGA에서는 대기하고 있다가 trigger가 들어오면 sc신호를 전송한다.그러면 ADC는 샘플링을 시작한다. ... VHDL 레포트1.서론(1) state machine(2) BCD Counter(3) Gray Code Counter(4) Dual Counter(5) Stepping Motor(6
    리포트 | 18페이지 | 2,000원 | 등록일 2019.04.20
  • [12주차] Calulator
    VHDL Source------------------------------------------------------------------------------------------ ... ,CLK,load_100k,cnt_100k)beginif FPGA_RSTB = '0' thencnt_100k '0');clk_100k ... -- 출력데이터의 경우에는 16진수 두자리로 표현이 되므로 8bit로 선언을 해주며 각 4bit가 하나의 16진수를 표현합니다.beginlcd : LCD_test port map(FPGA_RSTB
    리포트 | 20페이지 | 2,000원 | 등록일 2012.06.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:46 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대