• 통큰쿠폰이벤트-통합
  • 통합검색(287)
  • 리포트(221)
  • 자기소개서(64)
  • 논문(1)
  • 이력서(1)

"VHDL로 FPGA" 검색결과 101-120 / 287건

  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    Pre-Lab Report- Title: Lab#02_HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴-담당 교수담당 조교실 험 일학 번이 ... 지원설계 파일을 프로젝트화해서 관리Schematic & HDL 설계 지원Design EntryMultiple design entry methodsISE – Text Editor(VHDL ... appears next to the filename*After saving the file, the asterisk disappearsEnter text description - VHDL
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.06
  • 논리회로 설계실험 농구전광판
    )농구 전광판에 들어갈 기능은 타이머 기능, 점수의 감 가산 기능 등이 들어간다.3)전광판의 결과물은 LCD와 SEVEN_SEGMENT에 출력 할 수 있다.4)한 학기 동안 배운 VHDL을 ... 클락 관련 포트FPGA_RSTB : in STD_LOGIC; (기능 리셋)FPGA_CLK : in STD_LOGIC; (클럭 공급)? ... , FPGA_CLK : in STD_LOGIC; --클럭 공급과 트 p22, p24, p26, p27, p28, p29--세그먼트 포트들 p31,p33, p34, p35, p36,
    리포트 | 24페이지 | 2,000원 | 등록일 2009.07.10
  • 2017 하반기 KT IT SW개발 자소서, 자기소개서
    (최대 700자 입력가능)(1400byte)[끝이 어딘지를 확인하다.]3학년 때, VHDL로 FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했지만 결국 마감일까지 완성을 못했습니다. ... 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. 하드웨어 언어는 c언어와 너무나 달랐습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 2017 하반기 포스코 ICT 채용형인턴
    하지만 실패를 맛 본 건 VHDL로 FPGA를 설계하는 수업 이였습니다. 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 하지만 마감일까지 완성을 못했습니다. 욕심이 너무 컸던 겁니다. ... ‘FPGA와 라즈베리파이를 이용한 지능형 자동차’라는 타이틀을 가지고 한이음ICT공모전에 참가하였습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2017.10.23
  • 마이다스IT 자기소개서
    이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업 이였습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 하지만 마감일까지 완성을 못했습니다. ... 큰 벽에 부딪쳤고, 도저히 기한 안에 끝내질 못할 것을 알고 있었고, VHDL에 대해 아는 선배도 없어서 물어보지도 못하는 상황 이였습니다.
    자기소개서 | 4페이지 | 8,000원 | 등록일 2018.03.29
  • 2017 하반기 신한은행 IT 자기소개서
    VHDL로 FPGA를 설계하는 수업 이였는데 논리회로설계 수업 때 배우는 이론을 코딩으로 만드는 프로젝트 수업이였습니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 하지만 마감일까지 완성을 못했습니다. ... 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. 하드웨어 언어는 c언어와 너무나 달랐습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 2017 우리은행 IT직 자소서, 자기소개서
    FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했지만 결국 마감일까지 완성을 못했습니다. ... 하지만 VHDL은 c언어와 너무나 달랐습니다.제대로 작동이 안 되고, 오류만 수 없이 떴습니다. 발표기한은 다가오는데 마음이 조급해지기만 했습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • VHDL의 기초 및 문법
    HDL은 크게 VHDL과 verlog HDL이 널리 사용되고 있으며 PLD소자로는 소용량의 PAL, GAL에서 대용량의 GPLD, FPGA로 사용이 변화되고 있다.VHDL은 디지털 ... 1.1 VHDL에 대하여HDL과 PLD의 발전으로 디지털 시스템 개발의 변화를 주도하고 있다. ... 이는 계층적으로 기술될 수 있으며 타이밍도 명시적으로 모델링 될 수 있는 장점이 있다.VHDL은 병렬적 수행과 순차적 수행을 표현할 수 있다.
    리포트 | 13페이지 | 1,000원 | 등록일 2010.09.27 | 수정일 2019.01.02
  • [컴퓨터 전공][과목 : 디지털 논리 회로 설계 및 실습][내용 : 디지털 시계]
    이용한 FPGA 디지털 설계 ... , 녹색 1개저항 (330Ω) 73개Data SheetDM74LS47DM74LS90DM74LS90DM74LS04JK 플립플롭실제 구현한 디지털 시계 회로참고 서적최신 디지털 공학 VHDL
    리포트 | 11페이지 | 1,500원 | 등록일 2013.06.11
  • Lab#02 [HBE-ComboⅡ-SE] board [Xilinx Spartan3] FPGA chip [ISE] digital design tool
    Chip SelectFamilly : Spartan3Device : XC3s200Package : PQ208Speed : -4Tool SelectSynthesis tool : XST(VHDL ... Post-Lab ReportLab#02[HBE-ComboⅡ-SE] board[Xilinx Spartan3] FPGA chip[ISE] digital design tool담당 교수강 ... /Verilog)Simulator : iSim(VHDL/Verilog)Preterred Language : VerilogAND Gate 로직 설계File-New-Schematic 추가Symbol
    리포트 | 18페이지 | 1,500원 | 등록일 2016.09.11
  • 01-논리회로설계실험-예비보고서
    실험 목표VHDL의 기본개념과 프로그래머블 로직의 형태를 이해하고 이를 통해 기본 게이트를 설계할 수 있다.2. 예비 이론(1) CPLD, FPGA란? ... 하지만 집적도와 성능 자체는 MPGA에 비해 떨어지는 편이다.- CPLD vs FPGA : CPLD는 FPGA보다 빠르지만 가격이 저렴하다. ... FPGA와 비교하여 CPLD의 주된 장점은 저비용과 설계 시 타이밍을 예측할 수 있다는 것이다.(2) CPLD, FPGA 사용 실례 조사하여 적으시오.- CPLD : 자일링스 社의
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 2017 상반기 마이다스아이티 자기소개서, SW연구개발직
    이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업 이였습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 하지만 마감일까지 완성을 못했습니다. ... 큰 벽에 부딪쳤고, 도저히 기한 안에 끝내질 못할 것을 알고 있었고, VHDL에 대해 아는 선배도 없어서 물어보지도 못하는 상황 이였습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2017.02.20 | 수정일 2017.03.01
  • FPGA를 이용한 UART 구현
    FPGA의 UART 구성도 PAGEREF _Toc300923157 \h 13 HYPERLINK \l "_Toc300923158" 그림 3. ... FPGA_UART_MODULE PAGEREF _Toc300923160 \h 15 HYPERLINK \l "_Toc300923161" 그림 6. ... FPGA_UART_RX_MODULE PAGEREF _Toc300923161 \h 15 HYPERLINK \l "_Toc300923162" 그림 7.
    리포트 | 16페이지 | 3,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • Lab#03 Verilog HDL
    Materials-FPGA(Filed Programmable Gate Array)-XC3S200-Xilinx ISE. ... : iSim(VHDL/Verilog)(3) Preterrte 로직 설계1) Verilog를 이용한 2-bit NAND Gate 작성2) 핀 설정3) Behabioral Stimulation을 ... : XC3S200(3) Package : PQ208(4) Speed : -4다) Tool Select(1) Synthesis tool : XST(VHDL/Verilog)(2) Simulator
    리포트 | 20페이지 | 1,500원 | 등록일 2016.09.11
  • 2018 삼성물산, 삼성전자, 자소서, 자기소개서, IT직무 , 삼성그룹, 삼성, 대기업
    이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업이었습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다. ... 실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다. 욕심이 너무 컸던 겁니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했지만 결국 마감일까지 완성을 못했습니다.
    자기소개서 | 4페이지 | 8,000원 | 등록일 2018.05.18
  • [임베디드하드웨어]Xillinx및 VHDL기반의 숫자야구게임
    임베디드하드웨어 시간에 했던 Xillinx툴 및 VHDL기반의 코딩언어를 이용한 숫자야구게임최종보고서 및 프로그램소스코드 및 발표자료 전부 포함입니다.
    리포트 | 3,000원 | 등록일 2016.10.29 | 수정일 2016.11.01
  • 2017 하반기 예금보험공사 IT직 자기소개서
    지원자의 노력에도 불구하고 목표를 달성하지 못했던 경험을 기술해 주세요.(300자)3학년 1학기 때 VHDL로 FPGA를 설계하는 수업 이였습니다. ... 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. ... 실패의 요인은 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해졌고, 계획을 제대로 세우지 못했기 때문입니다.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2017.10.23
  • 2018 LG CNS 자소서, 자기소개서, SW개발직
    데이터베이스시스템 수업에서 mysql을 다뤄보았고, 임베디드시스템 수업에서는 FPGA를 이용하여 VHDL 프로그래밍언어로 프로젝트도 진행하였습니다. ... 관심을 갖게 되었습니다.수업뿐만 아니라 다양한 프로젝트를 통해 스스로 배워나갔으며, 2016년에는 한이음ICT공모전에 참가하였습니다. 1년 가까이 멘토링 활동을 하면서 개발하였고, ‘FPGA
    자기소개서 | 2페이지 | 6,000원 | 등록일 2018.05.17
  • 2017 한전KPS 전산직 자기소개서
    ㆍ포기하지 않고 업무를 수행한 이유와 그 결과에 대해 기술해 주시기 바랍니다.(600자)3학년 때, VHDL로 FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 결국 마감일까지 완성을 못했습니다. ... 실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다.
    자기소개서 | 5페이지 | 8,000원 | 등록일 2017.05.01 | 수정일 2017.10.03
  • 2017 하반기 동부그룹 인프라 엔지니어 자소서, 자기소개서
    FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다. ... 하지만 VHDL은 c언어와 너무나 달랐습니다. ... 공부하고 노력하여, 한발 앞서가는 사람이 되겠습니다.이제까지 가장 어려웠던 위기와 이를 극복하기 위해 했던 행동과 결과에 대해 구체적으로 기술하십시오(1200byte)3학년 때, VHDL로
    자기소개서 | 2페이지 | 4,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:34 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대