• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(407)
  • 리포트(398)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7segment decoder" 검색결과 101-120 / 407건

  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    이것은 또한 기호나 숫자를 여러 가지 기호나 알파벳 문자를 코드 화하기도 하고 기호나 숫자를 코드화 하는 것을 인코딩이라 한다.7-세그먼트 표시기(seven segment indicator ... 실험 목적인 부호변환 회로의 설계방법과 7 -segment 의 사용법을 익히는 것도 인지하고 실험에 임해야겠다. PAGE \* MERGEFORMAT 2 ... 예비 보고서인코더와 디코더 회로실험 목적Encoder와 Decorder의 기능을 익힌다.부호변환 회로의 설계방법을 익힌다.Seven-segment(숫자표시기)의 사용방법을 익힌다.이론상태
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 8주차 예비 - 인코더와 디코더 회로
    기초회로실험1전자공학부10주차실험제목 : 인코더와 디코더 회로실험목적 : (1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다.(3) Seven-segment ... 한다.(1) 디코더(Decoder) : 디코더는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력논리 회로이다. ... 사용방법을 익힌다.실험이론상태 혹은 명령들을 그에 대응하는 2진 정보로 변환하는 회로를 encoder라고 하며, 반대로 주어진 2진정보가 어떠한 상태 또는 명령으로 나타내는 회로를 decoder라고
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.01
  • 아날로그 및 디지털회로 설계 실습 stopwatch 설계_결과보고서
    또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.회로도(C) 전원을 인가하고 7-segment LED에 표시되는 숫자가 ... BCD카운터 출력 4bit을 BCD to 7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 그럼 74LS192의 Q0에서 Q3 출력이 74LS47의 입력에 그대로 인가된다. 74LS47의 출력이 7-segment로 연결되어 출력이 나타난다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.30
  • 서강대학교 21년도 디지털논리회로실험 9주차 결과레포트 (A+자료) - ROM, RAM, Dot matrix, key matrix
    모든 경우에서 7-segment display의 표시 내용은 입력된 key와 일치하였고, K(7:0) 또한 회로의 의도대로 나오는 것을 확인할 수 있었다.STEP 6:그림6-1 RAM을 ... segment display에 표시하는 회로를 구현하고자 한다.Cmod S6가 제공하는 기본 CLK의 주파수는 8MHz로 알려져 있다. ... Address 신호들은 decoder의 입력으로 들어가게 되고, decoder는 216개의 주소 중 하나를 activate하게 된다.
    리포트 | 35페이지 | 3,000원 | 등록일 2022.09.18
  • 서강대학교 디지털논리회로실험 - 실험 9. Memory Elements : ROM/RAM 결과 보고서
    텀 프로젝트 수행시 7-segment를 활용하고자 한다면 이와 같 은 방법을 통해 여러 개의 7-segment에 각기 다른 패턴을 나타낼 수 있다. ... 이번 실험에서 clock 신호를 이용해 2개의 7-segment에 서로 다른 패턴을 표시해 봄으로써 실제 7-segment의 사용법을 익힐 수 있었다. ... 7-segment에 직접 연결하여 그 결과를 확인한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Post-Lab Report- Title: Lab#08 Peripherals(7-segment and Piezo Control)담당 교수담당 조교실 험 일학 번이 름1. ... 음계를 인가버튼을 눌렀을 때 7 segment에 버튼숫자가 표시되면서 Piezo에서 해당하는 소리를 냄스마트폰에서 tuner 앱을 다운받아서 주파수 확인해 볼 것Piezo designTest ... Counter를 저장해 주는 것은 간단하게 reg형 변수를 활용하고, decoder와 비슷한 방식으로 single FND를 제어해서 원하는 출력을 얻을 수 있었다.2) 실습 2이 과제는
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • 시립대 전전설2 Velilog 예비리포트 8주차
    표시해 줄 수 있는 최소의 장치HBE Combo 2 장치는 Common Cathode 방식을 따르며 High 값이 올 때 LED의 불이 들어온다.7segment Decode Data7segment ... 두 번째 7segment 단자를 표시하기 위해 Common단자를 1011로 맞춘다. ... 다음 7segment를 표시하기 위해 차례대로 1101, 1110으로 조절한다.PIEZO주파수를 조정하여 소리를 발생시키는 출력장치이다.
    리포트 | 13페이지 | 1,000원 | 등록일 2021.04.16
  • 광운대학교 전기공학실험 M3. 숫자표시기(7-SEGMENT LED) 응용 예비레포트 [참고용]
    또한 LED 도통 전압강하는 2V정도이며, 저항 양단의 전압은 5V로 잡았을 때, (옴의법칙)에 따라 적정 저항은 270옴이 된다.3-1-3. 7-segment decoder/driver ... : BCD코드가 주어지면 이것으로 숫자표시기(7-segment display)의 LED들 중 적절한 것을 켜주는 IC를 말한다. ... 이 기기는 캐소드 공통 FND와 애노드 공통 FND가 있으며, 숫자를 표시하기 위한 LED의 각각의 부분을 segment라고 하며 a~g의 이름이 정해져있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2024.01.02
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서9
    실험의 대략적인 개요는 아래와 같이 표현할 수 있다.BCD to 7 segment decoder -> Display driver2) 고찰- 이번 실험은 Counter에 대해 알아보는 ... 위의 실험은 7-segment를 동작시키기 위하여 데이터를 BCD code로 바꾸는 것이다.? ... 7-segment에 BCD 코드가 입력됨으로서 클럭이 들어 갈 때 마다 세그먼트에 불이 들어오며 숫자를 나타 내었다.?
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 시립대 전전설2 Velilog 결과리포트 7주차
    표시해 줄 수 있는 최소의 장치HBE Combo 2 장치는 Common Cathode 방식을 따르며 High 값이 올 때 LED의 불이 들어온다.7segment Decode Data7segment ... 두 번째 7segment 단자를 표시하기 위해 Common단자를 1011로 맞춘다. ... 다음 7segment를 표시하기 위해 차례대로 1101, 1110으로 조절한다.PIEZO주파수를 조정하여 소리를 발생시키는 출력장치이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.12.11
  • 서강대학교 디지털논리회로실험 레포트 9주차
    0]을 통해 7-segment display에 연결된다. ... 두 7-segment displays에 표시하기 위한 회로이다. ... 표에서 a-h는 7-segment display를 구성하는 개별 LED를 표시하며 KIT에 장착된 7-segment display의 특성을 반영해 LED의 on을 위해 0을, LED의
    리포트 | 30페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 디코더, mux, comprator, 4비트 감가산기
    여기에서는 2개의 입력값을 AND gate 연산과 Not를 이용해서 총 4가지 출력값을 도출 하였습니다.이를 응용해서 BCD 2진법 입력값 4개를 이용해서 7segment Display방식을 ... 제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor2. 내 용 :Decoder위 식에서는 2개의 입력값과 4개의 출력 값이 있습니다. ... 결 과 : ModelSim Wave 결과 이미지 / Wave 결과 분석Decoder 파형분석A=0, B=1D3 0 & 1 = 0D2 0 & 1’ = 0D1 0’ & 1 = 1D0 0
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 논리회로실험 예비보고서8
    -실험3) 7-segment BCD Counter① 74HC90(Decade and Binary Counter)의 출력을 74HC47(BCD-to-Seven-Segment Decoder ... 위의 그림과 같이 2단 2진 counter는 출력이 2bit이기 때문에 입력 (A’,B’), (A,B’), (A’,B), (A,B)를 통해 4가지 출력을 디코딩할 수 있다.·7-segment7개의 ... LED로 숫자를 디스플레이에 표시하는 장치로 0부터 9까지의 2진값을 7-segment에 숫자로 표현할 수 있도록 변환한다. cathode type일 경우 위의 표와 같고 anode
    리포트 | 7페이지 | 1,500원 | 등록일 2020.09.18
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    디코더/구동기(7-segment decoder/driver): BCD코드가 주어지면 이것으로 숫자표시기(7-segment display)의 LED들 중 적절한 것을 켜주는 IC를 ... 실험 개요7-세그먼트 표시기(7-segment display)라 불리는 숫자표시기의 구성원리를 이해하고 이를 구동하는 방법을 실습한다. ... 이론 조사3-1. 7-세그먼트 표시기(7-segment display): 숫자표시기라고 하며 일곱 개의 발광다이오드(LED: Light-Emitting Diode)로 이루어진 일곱
    리포트 | 9페이지 | 1,500원 | 등록일 2024.01.02
  • 7-세그먼트 표시기와 디코더 결과보고서A+
    [그림 10 - 1] 74138 decoder[그림 10 – 2] 7 segment애노드 공통형을 선택하여서 두개의 Vcc에 5V 전압을 주었다. ... [그림 10 – 2] 7segment의 2개의 타입 중 하나를 이용하여 0~7까지 표현 가능하도록 회로와 진리표를 완성하여라. ... 디지털공학과 논리회로설계에서 학습한 내용들(디코더, BCD, 플립플롭 등)을 상기하는 시간을 가졌고 이론과 실습을 접목시킨 점에서 의미가 깊다.고찰문제아래의 [그림 10 – 1]74138 decoder
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 예비보고서
    실험 기기 및 부품BCD to 7-segment decoder 7447, 7-segment 5161NOT 게이트 7404, OR 게이트 7432, AND 게이트 74084. ... 주의 사항- 7-segment 5161을 오래 사용하면 과열될 수 있으니 주의한다.- +와 ? ... 실험 이론(1)Decoder디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 회로를 말한다.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    본 토의에서는 실험4의 코딩에 대해서 설명하고자 한다.총 4개의 7segment의 자리 수를 나타내는 변수 seg_com 선언각각의 7segment에 나타나는 변수 seg_data ... 더 나아가 우리가 원하는 값이 single FND에 출력이 되야 하므로 7-Segment Decoder 진리표에 따라 각 숫자에 맞게 그 값을 설정해준 것이다.실험2) Design ... 전자전기컴퓨터공학부 설계 및 실험2Post Lab-08Peripherals(7-segment and Piezo Control)실 험 날 짜학 번이 름목차1.
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    ) BCD to 7 segment Decoder- BCD-to-7세그먼트 디코더는 디코더의 일종으로, 4비트로 구성된 BCD 값을 입력으로 받아들여 7 segment에 각 디스플레이가 ... 논리회로설계실험 프로젝트 #1BCD to 7 segment 가산기1. ... 설계 목표BCD, BCD 덧셈, 7 segment에 대해 조사해보고, BCD to 7segment adder를 어떻게 구성할 수 있을까 고민해본다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 디지털시스템실험 3주차 예비보고서
    디코더란 이름은 BCD-to-7segment 디코더와 같은 코드 변환기와 결합되어 사용되기도 한다.예를 들어 3-to-8 디코더를 생각해 보자. 3개의 입력은 8개의 출력으로 해독되어지며 ... 이 알고리듬이 Shift and Add-3 알고리듬이다.실험방법■ 라인 디코더 구현① 2-to-4 Line Decoder 구현1. 2-to-4 Line Decoder를 위한 진리표를 ... Convertor 설계실험목표① 2-to-4, 3-to-8 라인 디코더를 설계한다.② Binary-to-BCD Convertor 설계한다.기본지식① Decoder디지털 시스템에서
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 디지털회로 보고서
    한 개의 신호등에만 초록불이 켜지고, 나머지에는 빨간 불이 켜진다.- BCD-7-segment Decoder하나의 신호등마다 2개씩 사용하였다. ... 경제성 분석7-segment에 연결되는{bar{A}}+B에서 OR소자들이 많이 쓰이면서 경제성을 많이 충족시키지 못했다.5. ... segment 2개로 남은시간을 display해준다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2019.09.29
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:58 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대