• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(407)
  • 리포트(398)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7segment decoder" 검색결과 81-100 / 407건

  • 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 연결한다. ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • 12. Stopwatch 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    이를 10진수 방식인 7-segment LED 로 바꿔 주기 위해 Decoder 를 사용해야 한다.일반적으로 7-segment decoder 는 0~9 까지 10개의 숫자를 나타내기 ... 7-segment LED 를 사용하는 것이 편리하며 이때 MC14511B 의 출력을 바로 7-segment LED 의 입력에 인가하면 된다. ... 만약 common anode 7-segment LED 를 사용하는 경우 MC14511B 칩과 7-segment 사이에 인버터를 삽입해주어야 원하는 방향으로 동작한다.Stopwatch
    리포트 | 11페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    따라서 십의 자리를 위한 BCD to 7segment를 제거해주어야 한다.7-segment 진리표3. 7 segment Controller 구현다음의 그림과 같이 7 세그먼트 컨트롤러를 ... BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2. ... BCD-to-7 segment 구현BCD코드가 생성이 된 것이 확인 되었다면 이제는 BCD 코드를 7-segment 표시를 위한 디코더를 설계할 차례이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 12. Stopwatch 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    segment decoder(MC14511B)를 통해 7-segment에 연결한다. ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다.BCD 카운터로 74HC192를 사용하였기 때문에 count up mode로 동작시키기위해서 CPu단자에는 ... Decoder 74LS473개BCD 카운터 74HC192P3개counter 74HC906개가변저항 1MΩ1개가변저항 10KΩ2개저항 330Ω, 1/2W, 5%21개Switch2개커패시터
    리포트 | 6페이지 | 1,000원 | 등록일 2022.09.06
  • 디지털 논리실험 3주차 예비보고서
    따라서 7을 표시하기 위해서는 ABCD 순으 로 (1,1,1,0)을 입력해야 한다. 1.4 BCD to 7-segment decoder 7447과 7-segment 5161의 ... 인코더는 반대로 정보를 암호화하는 역할을 한다. 1.3 기본 실험 (3)에서 7을 표시하기 위한 입력 ABCD가 무엇인지 설명하시 오. 7을 2진수로 나타내면 0111이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.04.11
  • 충북대 기초회로실험 인코더와 디코더 회로 예비
    인코더와 디코더 회로(예비보고서)실험 목적(1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다.(3) Seven-segment(숫자표시기)의 ... BCD-7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 십진 숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다.또한 BCD ... LTS5422R(Seven segment), Power supply, Oscilloscope실험(1) 다음 그림의 회로를 구성하고, 진리표를 구하여 표로부터
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 8주차 예비+결과(코드포함) Application_Design_I 7-segment and Piezo_Control
    I.INTRODUCTION본 실험은 7-segment와 dynamic 7-segment, 그리고 PIEZO buzzer를 설계한다. 7-segment에 사용되는 decoder를 설계해보고 ... , 이러한 이해를 바탕으로 3개의 7-segment로 구성된 동적 7-segment를 설계한다. ... 그리고 마지막으로 PIEZO buzzer를 설계함으로써 verilog 코드를 통한 응용을 학습한다.II.7-Segment, Piezo buzzer, dynamic 7-segment
    리포트 | 3페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 기초실험 7segment 결과보고서
    하나의 세그먼트는 디스플레이 모듈에서 세그먼트에 대응되는 입력에 논리 1이 인가 될 때 불이 켜진다.위 그림처럼 회로를 연결하면 된다.7segment 및 BCD to 7 Segment ... Decade Counter가 활용될 수 있는 다른 응용처를 제시한다.실험 이론7 segment indicator는 10진수 수 0에서 9까지 어느 하나를 표시하기 위해 이용된다. ... 7 Segment & Counter 결과 보고서실험 목적BDC -to-7 Segment Decoder와 7 Segment LED를 연결한다.
    리포트 | 26페이지 | 2,000원 | 등록일 2022.04.23
  • 인코더와 디코더 회로 예비
    실험 제목인코더와 디코더 회로실험 목적Encoder와 Decoder의 기능을 익힌다.부호변환 회로의 설계방법을 익힌다.Seven-segment(숫자표시기)의 사용방법을 익힌다.실험 ... 수가 있지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기이다.예비과제BCD to 7-Segment 디코더에 대해 설명하라BCD to-7 segment 디코더는 BCD에서 ... Encoder은 그림을 보면 알 수 있듯이 decoder 회로의 반대 기능을 지닌 회로로서 2n개 또는 이보다 적은 개수의 입력신호로부터 n개의 출력신호를 만든다.7-세그먼트 표시기
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.21
  • FPGA [ ROM & RAM ]
    => seg_decode := "0100100";코드에서 "0000000001"의 의미가 첫 번째 스위치를 점등한 값을 의미하는데 이 값이 7세그먼트로 숫자‘0’이기 때문에 DE2보드에 ... 파형에 대한 토의"0000000001" => seg_decode := "1000000";"0000000010" => seg_decode := "1111001";"0000000100" ... 결과가 seg_decode := "1111001" 이므로 DE2보드에 숫자 ‘5’가 점등되었고 여덟번째 스위치 on시킨 결과가 seg_decode := "0100100" 이므로 DE2보드에
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 12차 예비보고서
    Decoder와 7-segment의 사이에 330 Ω 저항을 삽입하여 7-segment의 각 세그먼트 허용전류를 초과하지 않도록 하였다.※ Stopwatch의 정상 동작을 위해서는 ... MC14511B를 BI = HIGH, LE = LOW, LT = HIGH로 연결해야한다. 7-segment가 common cathode이므로, V = LOW를 연결해야한다.2. 2자리 ... 준비물실습 준비물부품Inverter 74HC04NAND gate 74HC00NOR gate 74HC02AND gate 74HC08OR gate 74HC327-SegmentBCD Decoder
    리포트 | 6페이지 | 1,000원 | 등록일 2024.02.17
  • 홍익대학교 전전 실험1 프로젝트 보고서
    segment LED모두 0이 된다.- Select A,B : 7-segment LED 중 ‘A’와 ‘B’ 둘 중에 하나를 선택하여 선택된 7-segment LED는 Input Button을 ... 단, ‘A’와 ‘B’ 7-segment LED를 선택하는 순서에 상관없이 선택된 7-segment LED는 Input Button을 누를 때마다 1씩 증가하여야 한다.3. ... 이 때 ‘B’ 7-segment LED는 변하지 않는다.- Input : 카운트를 하는 기능으로 Input Button을 누를 때마다 선택된 ‘A’ 또는 ‘B’ 7-segment LED가
    리포트 | 9페이지 | 3,000원 | 등록일 2020.12.25
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    이 값은 Dynamic 7-segment Decoder를 구성하는 코드를 똑같이 작성하여 값을 출력하게 하였다. ... 또 load 변수를 통하여 in 값을 입력할 수 있다.여기서 주목해야할 점은 reg형 decode변수인데, 이 decode변수를 통해 카운터의 출력값인 out에 따라 7-segment의 ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • [A+][예비레포트] 중앙대 아날로그 및 디지털 회로 설계실습 12. Stopwatch 설계
    이용해 회로를 완성하였다. 2자리 숫자 표시 회로와 3자리 숫자 표시 회로는 BCD 10진 카운터, Decoder, 7-segment를 각각 2개, 3개 추가해주면 구성할 수 있다 ... GND 연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시하시오.Function Generator를 이용해 클락 신호를 만들어주었고 BCD 카운터 소자와 Decoder
    리포트 | 1페이지 | 1,000원 | 등록일 2022.04.08
  • [A+]중앙대학교 아날로그및디지털회로설계실습 Stopwatch 설계 예비보고서
    Bit이 필요하고 7개의 segment를 점등하기 위해서는 7개의 출력 bit이 필요하다.BCD 카운터, Binary 카운터10진 카운터로는 74HC190/192칩을, 그리고 16진 ... 크게 BCD to 7 Segment decoder 와 BCD 카운터, Binary 카운터 두 가지만 정리하겠다.BCD to 7 Segment decoder디지털 회로의 출력은 대게 ... 2진수로 표현되어 있으므로 이를 10 진수 방식인 7 Segment LED로 바꾸어 주기 위해서는 Decoder가 필요하다. 0부터 9까지의 10개 숫자를 나타내기 위해서 4개의 입력
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.02
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    예상 결과본 실험은 Verilog HDL 언어를 사용하여 7-segment and Piezo Control 을 설계하는 실험이다. 7-segment and Piezo Control ... 전자전기컴퓨터공학부 설계 및 실험2Pre Lab-08Peripherals(7-segment and Piezo Control)실 험 날 짜학 번이 름목차1. ... 실험 방법(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. upcounter 설계2. static 7 segment를 모듈화해서 사용codesimulation
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 한양대 Verilog HDL 3
    실험 목적Verilog 문법 중 Blocking, Non Blocking의 개념에 대해 이해한다. 7-segment decoder 을 이용해 60초 기준으로 1초마다 FPGA starter ... Non-blocking은 두 개의 절차로 진행되는데, RHS(right hand side) -> LHS(left hand side) 순서이다. ... Blocking 구문들이 다 처리된 뒤, Non-blocking 구문들의 값을 확인할 수 있기에 Non-blocking 구문의 값을 확인하기 위해서는 $monitor, $strobe
    리포트 | 7페이지 | 2,000원 | 등록일 2023.03.21
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 stopwatch설계 예비
    이때 Decoder 와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다. ... (A)에서 생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 ... 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.(C).
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.23
  • [건국대학교 전기전자기초실험1 A+][2024 Ver] 13주차 - 예비레포트
    segment decorder에 대해 조사하시오.7-segment를 편리하게 구동시키기 위해서 display decoder가 개발되었고, 입력 4개의 핀을 통해 출력 7개의 핀을 제어하여 ... 모의실험(1) 모의실험 1 – BCD 7-segment 디코더 모의실험아래는 BCD 7-segment 디코더인 SN74LS48의 회로도이다. ... 대표적인 소자로 74LS47이 있으며 내부에 논리회로로 구성되어 2진수에 해당하는 입력핀의 신호에 대해 7 segment 디스플레이로 숫자 형태를 출력한다.(3) 7-세그먼트를 구동하기
    리포트 | 19페이지 | 5,000원 | 등록일 2024.08.10
  • 충북대 기초회로실험 인코더와 디코더 회로 결과
    segment의 진리표이다. ... 실험 2에서는 BCD to 7-Segment 디코더의 출력신호가 나오는 곳에 7-segment 표시기를 달고서 BCD 입력에 따라 LED에 출력되는 숫자를 확인하였다. ... 회로를 구성하여 7-segment LED를 구동확인하고 0~9숫자의 논리식을 표시하라.입력 (BCD)출력 (Display)A _{3}A _{2}A _{1}A _{0}abcdefg000011111100001011000000101101101001111110010100011001101011011011011000111110111111000010001111111100111100110
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.10.26
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:17 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대