• 통큰쿠폰이벤트-통합
  • 통합검색(405)
  • 리포트(396)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7segment decoder" 검색결과 281-300 / 405건

  • 디지털시계 ppt
    디코더 (Decoder) : 컴퓨터언어를 사람이 알아볼수있게 해독하는것 ※ 7-segment 디코더 : 0 ~ 9 까지 숫자를 표현 . ... 세그먼트7-segment 초 분 AM / FM 시 회도 10 진 카운터 10 진 디코더 6 진 카운터 6 진 디코더 12 진 카운터 12 진 디코더 10 진 디코더 2 진 디코더 2 ... 각 소자마다 특성과 기능 다름 . ※ 주의 : 11 번과 12 번핀 모두 GND 로 설정하여야만 정상적으로 작동 7-Segment : 입력된 값을 숫자로 디스플레이 .
    리포트 | 19페이지 | 1,500원 | 등록일 2012.12.10
  • BCD 카운터 & up and down 카운터 제안서
    명 제⇒ 저번 설계에서 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하였다. 2진 입력을 BCD코드로 디코드하는
    리포트 | 5페이지 | 1,500원 | 등록일 2013.05.05
  • 아주대 논회실 실험8 예비보고서
    클럭신호를 카운트 하여 BCD신호로 바꿔주고, 3-2실험에서 7447이 그 BCD코드를 이용하여 7 segment 표시기에 십진수로 표시하는 것이다. ... 진리표를 보면 0, 1, 2를 카운터 했음을 알 수 있다.3) 세 번째 실험(1,2통합), 7-segment 표시기를 갖는 BCD Counter예상결과: 이번 실험은 3-1실험으로부터 ... 실험목적카운터의 동작원리와 특성을 이해 2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해
    리포트 | 5페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 7-세그먼트 / 디코더 회로 설계
    설계실습 11. 7-segment / Decoder 회로 설계1. 목적7-segmentDecoder를 이해하고 관련 회로를 설계한다.2. ... 7-segment을 이용한 7-segment 구동 회로를 설계하라. ... ( segment 구성 및 숫자 표시 )(2)Karnaugh맵을 이용하여 간소화 된 sum of product 또는 product of sum 형태의 불리언식을 구하여라.(3) Decoder
    리포트 | 6페이지 | 1,500원 | 등록일 2009.11.30
  • 서강대학교 전자공학과 4학기(2학년 2학기)의 디지털논리회로실험 레포트입니다
    실험 목적(1) 일반적인 binary decoder의 동작 원리를 이해한다.(2) 7-segment decoder의 동작 원리를 이해한다.(3) Encoder의 동작 원리를 이해한다 ... Decoders and Encoders2.
    리포트 | 9페이지 | 2,000원 | 등록일 2011.03.27 | 수정일 2021.09.20
  • 논리회로실험 실험8 counter 결과보고서
    .◈ 실험 3-1 : 7-segment 표시기를 갖는 BCD Counter? ... 하지만 역시 두 실험에서 눈에 띄는 지연시간 차이는 알 수 없었다.◈ 실험 3-2 : 7-segment 표시기를 갖는 BCD Counter- 실험 과정 및 이론 :? ... 74HC90을 사용하여 BCD코드를 생성하고 그 코드를 BCD-TO-SEVEN-SEGMENT DECODERS/DRIVERS와 7SEGMENT로 표현하는 실험을 하였다.?
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • VHDL, ABEL - 7 segment
    ☞ 시뮬레이션 파형을 보면, 설계한 진리표와 결과가 일치하는 것으로 보아, 16진수를 표시하는 7segment decoder로써 동작함을 알 수 있다. ... ;"C[1,1,1,0,1]->[0,0,0,0,0,0,0];"d[1,1,1,1,0]->[0,0,0,0,0,0,0];"E[1,1,1,1,1]->[0,0,0,0,0,0,0];"FEND seven_segment ... std_logic;DCBA: in std_logic_vector (3 downto 0);Y: out std_logic_vector (6 downto 0) --Y=abcdefg);end
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • 적외선센서미니카
    segment 에 10 진수로 출력해주 는 DECODER. 7-SEGMENT – 10 진수 표현 . ... T=1sec 인 구형파 입력을 받아서 7490, 7447, 7-segment 가 차례로 동작 ( 경 과 시간을 알기 위해서 ). ... 이러한 전체적인 동작이 30 초간 이루어진 후 자동으로 꺼진다 .회로도 (1) - 동작시간 조절회로도 (2) - 7-segment 동작회로도 (3) – 적외선센서 및 DC 모터제작과정문제
    리포트 | 12페이지 | 1,500원 | 등록일 2011.06.11
  • 전시회 관람자에게 팜플릿을 주기 전에 시스템에 팜플릿을 통과시키면 전시회 관람자의 수가 7-segment에 출력되는 시스템
    2- BCD counter와 BCD to 7-segment decoder 1의 부분을 참조하여 설계한다.4-2-6 7-segment 2- 7-segment 1 부분과 같이 74LS48에 ... A, B, C, D를 받아서 그 입력의 숫자 모양을 common-cathode 7-segment에 표시하는데 필요한 출력을 내는 BCD to 7-segment decoder이다. 74LS47은 ... common-anode 7-segment 구동 decoder이다. pin 6, 2, 1, 7은 BCD 입력으로 BCD counter의 출력과 연결된다. pin 13, 12, 11,
    리포트 | 24페이지 | 1,500원 | 등록일 2010.06.25
  • 실험 8. Counter(예비)
    2진 값을 7-segment에서 0~9를 표현하도록 변환5. ... 실험 목적● 카운터의 동작 원리와 특성을 이해● 2진 시스템에서의 숫자 표시와 2진 카운터에 대한 이해● 카운터를 이용해 디코딩(Decoding)과 인코딩(Encoding)의 코드 ... 주요 디바이스74HC76, 74HC08, 74HC90, 74HC47, 7 Segment4.
    리포트 | 2페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • combinational logic circuit design(예비)
    Also, understand the mechanism of 7-segment controller used to display number in the digital circuit, ... , encoder/decoder. ... Objective Understand the mechanism of typical combination circuits such as multiplexer, demultiplexer
    리포트 | 11페이지 | 1,000원 | 등록일 2011.07.09
  • [논실]예비8, 200820126, 안효중, 4조
    이 IC는 MR이나 MS가 두 개 모두 H 상태이면 카운팅 하지 않는다는 특징을 가지고 있다.BCD-TO-SEVEN-SEGMENT DECODERS/DRIVERS라는 이름대로 7-segment ... 입력으로 A, B, C, D를 2진값으로 받아 7-segment에 맞게 출력한다. ... 회로도를 보고 회로를 구성한 후 입력으로 BCD 값을 넣어주면 7-segment를 통해 그에 따른 10진 값으로 변환되어 출력된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.02.29
  • 디지털회로설계 (쇼핑카트 계산기)
    segment 표시- 쇼핑카트에 담은 상품 중 취소하고 싶은 상품이 있는 경우, 가/감 Switch를 작동 후 바코드 인식-> 누적 값에서 차감- 쇼핑카트에 담긴 상품의 총 가격이 ... 10bit를 직접 입력하는 것으로 변경(2) 상품을 쇼핑카트에 담으며 바코드 인식, 상품DB에서 인식된 상품 검색 후 해당 가격 누적- 바코드는 5bit로 가정, 입력된 5bit는 Decoder를 ... 목표- 최초 작품 작동 시, 쇼핑 최대 금액 설정 (십, 백, 천의 자리의 Push Button)- 상품을 쇼핑카트에 담으며 바코드 인식, 상품DB에서 검색 후 가격 누적 -> 7-
    리포트 | 18페이지 | 2,000원 | 등록일 2015.12.12
  • 10진 카운터를 이용한 100진 카운터 결과보고서
    각각 R2, R3에 해당한다.- 비안정 멀티바이브레이터 회로 -설계 회로ORCAD7segment, 330Ω저항, 74LS47, 74LS90소자를 이용하여 제작한 100진 카운터 회로이다 ... segment 디코더의 진리표3.6 비안정 멀티 바이브레이터ON, OFF 교호 발진을 반복하도록 한 발진기. ... 관련이론3.1 74LS47 - BCD to 7-Segment Decode이 소자는 BCD to 7-Segment decoder로서 74LS90으로부터 10진수에 해당되는 BCD코드를
    리포트 | 10페이지 | 3,000원 | 등록일 2013.07.01
  • 아주대논리회로실험 5장.디코더인코더 예비(기본구성+빵판+예상결과)
    segment LED(1개)(6) 저항 : 330Ω (7개)4. ... Decoder & Encoder1. 목 적카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... 예로서 그림 1에 나타난 2단 2진 카운터(2-stage binary counter)는 4진 카운터이며 4개의 카운트 상태를 갖는다.
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.21
  • 디지털회로 term project 2진수 곱셈기
    [그림 1] NOT gate[그림 2] OR gate[그림 3] AND gate[그림 4] BCD decoder[그림 5] JK Flip Flop[그림 6] LED 7-segment위의 ... (7447), JK Flip Flop(7476), LED 7-segment를 사용했습니다. ... [그림 6]의 LED 7-segment는 아래 왼쪽에서부터 반시계 방향으로 번호를 매겼습니다.
    리포트 | 14페이지 | 2,500원 | 등록일 2011.08.29
  • HDL설계과제
    1) 목표 : 6 개의 seven segment decoder에 좌측으로부터 123456을 디스플레이시킨다.2) 힌트 : 핀 p80으로부터 50MHz 클럭을 공급받아서 이것을 분주하여 ... : out STD_LOGIC_VECTOR (7 downto 0));end ad;architecture Behavioral of ad issignal clk_out : std_logic ... 1KHz 클럭으로 만 든다. 1부터 6까지 증가를 반복하는 카운터를 이용하여 1KHz의 주기로 seven segement decoder를 1 번씩 켜주면
    리포트 | 3페이지 | 2,000원 | 등록일 2010.06.24
  • Hierarchical Combinational Design Using MSI Macros
    [ABCD to seven-segment display decoder]a’=[/&(/x1,/x2,/x3,x4)]/&[/&(x2,/x3,/x4)]b’= [/&(x2,/x3,x4)]/& ... For the third experiment, we designed 7-segment with number from 0 to 9. ... and implement a binary-to7 segment decoder.X1X2X3X4abcdefgLED*************00101100001001011011012001111110013010001100114010110110115011010111116011111100007100011111118100111110119
    리포트 | 14페이지 | 1,000원 | 등록일 2012.02.11
  • 73진 엔진 카운터
    segment 디코더의 진리표10진수입력 (BCD code)출력DCBAabcdefg*************000101100002001011011013001111110014010001100115010110110116011000111117011111100008100011111119100111100114 ... 관련이론3.1 74LS47 - BCD to 7-Segment Decode이 소자는 BCD to 7-Segment decoder로서 74LS90으로부터 10진수에 해당되는 BCD코드를 ... 사용 소자 사양4.1 74LS47 - BCD to 7-Segment Decoder (2개)4.2 74LS90 - Decade and Binary Counters (2개)4.3 74LS08
    리포트 | 6페이지 | 3,500원 | 등록일 2012.10.30
  • 4. 디코더,인코더 및 다중화기 역다중화기 7세그먼트 디코더
    실험 결과(Experimental Results)(1)74LS47과 7segment를 이용하여 표 4-2에 입력과 출력을 기록하여라.입력출력EDCBA7segment********** ... 디코더(Decoder)디코더(decoder)는 N bit 2진 입력 코드를 2N개의 출력으로 변환하는 논리회로로서 각각의 출력선은 입력 가능한 조합의 하나에 대하여 동작된다. ... 값에 상관없이 모든 출력들이 동일한 값 1을 가짐), E가 1일 경우에는 디코더로 동작하게 된다.입력은 2개, 출력은 4개가 되는데 이를 2라인-4라인 디코더 또는 1 of 4 Decoder
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:02 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대