• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(407)
  • 리포트(398)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7segment decoder" 검색결과 181-200 / 407건

  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    즉, BCD data를 7seg control signal로 decoding해주는 decoder기능을 한다고 할 수 있다. ... [Segment Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력IC set들을 지나온 각 신호들은 7-segment ... ]와 Clock signal을 받아 7-segment 출력에 알맞은 형태로 변형하는 [Segment Clock Counting Part]와 IC set를 지나온 신호들을 7-segment
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 04-논리회로설계실험-예비보고서
    segment Decoder- 이진화 십진코드(BCD)를 7-segment로 복호하는 장치이다. ... segment 란? ... 한 개의 7 segment에는 LED 7~8개를 이용해 원하는 문자 표시가 가능하다.-- FND 에는 크게 Common Anode와 Common Cathod의 두 가지 타입이 존재한다
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 진수변환기(제안서)
    segment 디코더74LS4765003,000IC 7-SEGMENT LED FND63001,80010셀렉트 스위치25001,000저항 330옴100500500기판35,50016,500인두기26,00012,000인두기 ... 인해 게이트 사용 최소화BCD to 7-Segment Decoder로 구현□ 설계시 유의할 점- 최대한 정량적인 측정과 분석을 할것.- 만능기판을 사용하고, 납땜 등으로 완성도를 높일것 ... 설계목적 & 설계 시 유의점디지털 논리회로를 이용한 7-Segment 구현□ 목적0~9까지의 의미를 지닌 10개의 입력값을 10진수, 3진수로 7-Segment에 표현한다.최적화로
    리포트 | 9페이지 | 1,000원 | 등록일 2011.11.13
  • 아주대학교 논리회로 HDL프로젝트 100점만점보고서 상세설명 + CODE포함
    지정한다.logic_vector를 integer로 변환하는 함수 작성7-segment 표시를 바탕으로 DecodingInput의 7(H)를 MSB로 한다. ... 67로 나누었을 때의 나머지)기존 7segment의 일반적인 순서인 0을 4로 시작하여 우측 그림과 같이 Segment의 LED 순서를 기준으로 한다.7 Segment의 표현 방법은 ... MSB로 주어 HGFEDCBA 순으로 Input을 넣어주었으니 유의하여야 한다.이 외 코딩에 약간 불필요한 부분이지만 넣은 부분에 대해 잠깐 언급하자면, function logic_7segment
    리포트 | 15페이지 | 2,500원 | 등록일 2016.07.09 | 수정일 2016.07.11
  • 논리회로실험 결과보고서8 Counter
    사진은 클락에 따른 변화를 순서대로 나타내었다.Part 3-2. 7-segment 표시기를 갖는 BCD Counter74HC47과 7-segment를 이용하여 만든 BCD Counter이다 ... 사진은 0부터 9를 표현한 7-segment를 순서대로 나타내었다.실험에 대한 고찰? ... Part 3은 7-segment 표시기를 갖는 BCD Counter에 관한 실험이었다. 3-1에서는 74HC90을 통해 Counter를 구성하였으며, 3-2에서는 74HC47과 7-
    리포트 | 6페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Post
    – Verilog HDL 실습 Lab#08 Application Design @ 7-segment and Piezo Control, 서울시립대학교.Datasheet - HBE-Combo ... Application Design 7-segment and Piezo Control실험 날짜2016. 11.07학번이름Professor조교Expected Results[응용] Piezo ... 이를 다른 always문에서 decoding한 후, 7 Segment에 High Input을 인가한다.Reset을 위해 reset switch를 사용하며, 낮은 ‘도’ ~ 높은 ‘도
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • VHDL 디지털 시계
    이 계수기의 출력은 계수cond counter에서 출력되어 지는 초 계수 값을 표현하는 BCD또는 binary 값에 대하여 7-segment decoder를 이용하여 출력하는 블록이다 ... .■ hour displayhour counter에서 출력되어 지는 시 계수 값을 표현하는 BCD 또는 binary 값에 대하여 7-segment decoder를 이용하여 출력하는 ... 7-segment decoder를 이용하여 출력하는 블록이다.■ Control Circuits 블록이 블록은 StopWatch를 조정하기 위한 제어 신호를 발생시키는 블록 in std_logic
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    Application Design 7-segment and Piezo Control실험 날짜2016. 11.07학번이름Professor조교IntroduceObject7-Segment를 ... 더 낮은 음계일수록 저주파이므로, 주기가 더 긴 것을 확인할 수 있다.Reference교안 – Verilog HDL 실습 Lab#08 Application Design @ 7-segment ... 있어 7-Segment라고 불린다.16진수를 표현하기 적합하며, 8개의 LED 조합으로 문자를 표시하기 때문에 Decoder를 이용하여 편리하게 제어할 수 있다.7-Segment
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • Lab#08 Application Design1
    -SegmentSeven segment display라고도 하며, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... Post-Lab ReportLab#08 Application Design1@ 7-segment and Piezo_Control담당 교수강 상 혁담당 조교실 험 일실 험 조학 번이 름Contents1 ... Purpose of this lab7-segment와 Piezo의 특성을 이해하고, 이를 통하여 응용회로를 작성한다.나.
    리포트 | 30페이지 | 1,500원 | 등록일 2016.09.11
  • 실험8. Counter 예비보고서
    이를 7-segment라 한다. 이때 유의해야 할 점이 3,4,5번 핀인 LT BI/RBO RBI 핀을 High로 두어야 원하는 결과를 얻을 수 있다.3. ... [PART 3-1] 7-segment 표시기를 갖는 BCD Counter위와 같이 74HC90을 회로도처럼 연결해 주고 스위치를 이용하여 2번 3번 핀( R0(1) R0(2))가 그라운드에 ... 실험 목적카운터의 동작원리와 특성을 이해하고 2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해하며 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.07
  • 디지털실험 및 설계 부호기 예비보고서
    이것은 BCD로 나타내는 숫자 신호를 7편(segment)의 십진수 구성요소들로 복호시킨 후 이를 해당 발광다이오드들에 연결하여 십진 수치가 표시되도록 하는 장치이다. ... 우선순위 부호기는 컴퓨터의 입출력에서 주변장치들의 접근을 제어하는 데 주로 사용된다.내부 구성 진리표(3)(4)십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment ... 또 BCD-to-7 segment 복호기 중에는 7446, 7447은 A형을 위한 것이고, 7448, 7449는 K형을 위한 것이다.EQ \* jc2 \* hps11 \* "Font
    리포트 | 9페이지 | 1,000원 | 등록일 2017.04.30
  • decoder와 encoder 실험보고서
    segment decoderTTL IC 7447은 BCD-to 7 segment decoder/driver로 0부터 9사이의BCD로 표시된 숫자에 따라 7 segment LED를 조절하여 ... 읽기 쉬운 숫자로 바꾸어 놓는다.아래 7 segment LED에 각각의 숫자가 표시될 떄 켜지는 LED를 표시하여 본다.DCBAabcdefg0000111111000011100000010110110100111111001010001100110101101101101101011111011111100001000111111110011111011101011111011011001111111000001101110101111011110110111111111000111 ... 실험제목 : Decoder와 Encoder2. 실험날짜 : 2013. 11. 14 (목)3.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.25 | 수정일 2015.07.14
  • 전시회 관람자에게 팜플릿을 주기 전에 시스템에 팜플릿을 통과시키면 전시회 관람자의 수가 7-segment에 출력되는 시스템
    2- BCD counter와 BCD to 7-segment decoder 1의 부분을 참조하여 설계한다.4-2-6 7-segment 2- 7-segment 1 부분과 같이 74LS48에 ... A, B, C, D를 받아서 그 입력의 숫자 모양을 common-cathode 7-segment에 표시하는데 필요한 출력을 내는 BCD to 7-segment decoder이다. 74LS47은 ... common-anode 7-segment 구동 decoder이다. pin 6, 2, 1, 7은 BCD 입력으로 BCD counter의 출력과 연결된다. pin 13, 12, 11,
    리포트 | 24페이지 | 1,500원 | 등록일 2010.06.25
  • 디지털시스템 실험(decoder, Binary to BCD converter), 2-to-4, 3-to-8 라인디코더+testbench포함
    to-8 decoder, Binary to BCD converter, 그리고 BCD to 7segment decoder를 설계하고 FPGA 보드에 연결하여 7-segment를 작동해보았다 ... BCD-to-7segment code를 FPGA보드에 연결하여 BCD를 변화시켜 7segment에 원하는 변화가 생기는지 체크하였다.토의이번 실험은 2-to-4 decoder, 3- ... BCD-to-7segment code를 modelsim에서 실행하여 확인하였다.8.
    리포트 | 7페이지 | 1,500원 | 등록일 2014.11.03 | 수정일 2018.05.16
  • Application-Design-Ⅰ-7-segment and Piezo-Control
    Post-Lab Report- Title: Lab#08 Application_Design_Ⅰ@ 7-segment and Piezo_Control -담당 교수담당 조교실 험 일학 번이 ... 먼저 7-segment가 어떻게 D에 불이 들어왔을 때의 상태에 따라 다양한 문자 표시가 가능하다.일반적인 7-Segment를 말하며, Common Cathode와 Common Anode ... 이번 실험에서는 segment를 사용해서 그 전의 실험과 같은 결과를 나타낼 수 있었다.
    리포트 | 28페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 광운대학교 전기공학과 1학년 실험7
    segment 디코더 74LS47 1개스위치 9개저항 트랜지스터 LED 다수◆문제 풀이◆1) 2비트의 이진수 입력에 대한 디코더 회로를 작성하라.2) A B C 세 개의 입력에 대해 ... 이를 유니코드라 부른다.☑ Encoder와 decoder의 기능이러한 코드의 개념을 이해하고 있다면 인코더와 디코더의 개념을 다음과 같이 설명할 수 있다. ... 실험 7. 디코더와 인코더전기공학과◆개요◆1. 코드의 개념을 이해하고 이진코드와 BCD코드가 무엇인지를 숙지한다.2. 디코더의 원리와 구성방법을 이해한다.3.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.06.30
  • Learning to Read Fluently 발표
    여러 소리를 낼 수 있기 때문* 상음관계 - 같은 소리가 나는 글자4) 음소 인식 능력 검사(test of phonological awareness)의 하위요소① phoneme segmentation ... . - 능숙한 독자는 음소인식을 더 성공적으로 수행가능② Decoding(단어해독) - 단어를 소리로 해석하는 과정③ Meaning accessing(의미접근) - 장기 기억 속에서 ... 표준화된 읽기 기술 테스트에서 실험집단은 35%, 통제집단은 7%만이 읽을 수 있는 사람으로 분류될 수 있었다.4) 직접적 교수 필요 주장① Spector(1995) - “현재까지의
    리포트 | 3페이지 | 1,000원 | 등록일 2018.07.10
  • 전기전자기초실험 Combination Logic Circuit Design 예비보고서
    applications such as data multiplexing, 7 segment display and memory address decoding.The example decoder ... Decoding is necessary in applications such as data multiplexing, 7 segment display and memory address ... Segment Controller 』The individual segments of a seven-segment displayA seven-segment displSeven segments
    리포트 | 13페이지 | 1,000원 | 등록일 2009.09.08
  • 전자전기컴퓨터설계실험2(전전설2)8주차결과
    Static/Dynamic 7-segment7-segment 하나가 쓰이는 것을 static 7-segment라고 한다. 즉, 십진수의 한 자리를 표현해주는 것을 의미한다. ... 이를 여러 개로 확장시킨 것이 바로 Dynamic 7-segment이다. ... 보통 한 자리를 표현할 때 사용되는 input과 output은 8개인데 segment가 늘어나면 자연스럽게 input과 output도 늘어나기 때문에 Dynamic 7-segment에서는
    리포트 | 24페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • Verilog 및 Quartus II를 이용한 논리회로 설계 실습 3-예비,결과 보고서
    segment decoder 설계verilog gate-level 을 이용한 BCD-to-7-segment decoder의 Waveform-> BCD-to-7-segment decoder를 ... 9의 보수를 이용한 7-segment decoder 진리표이다. ... code의 9의 보수를 이용한 7-segment decoder 진리표위 표는 예비보고서에 썼던 BCD code의 9의 보수를 이용한 7-segment decoder 진리표이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2009.01.25
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대