• 통큰쿠폰이벤트-통합
  • 통합검색(405)
  • 리포트(396)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7segment decoder" 검색결과 241-260 / 405건

  • VHDL실습 디지털시계, 1초 생성기, 60초 카운터 등
    ●COMPONENT 구문 - 미리 설계된 회로들을 블록화, 부품화하여 전체 시스템을 구조적, 계층적으로 표현하는데 사용.1초 생성기(segment)- 최대 시뮬레이션 가능 시간이 100us이기 ... 차 례1초 생성기60진 카운터(초, 분)12진 카운터(시간)FND Decoder & 2x1 MuxTOP Design_VhdlTOP Design_Schematic●디지털 시계의 전반적인 ... clk값이 falling edge일 때 카운트가 1씩 증가하고 12가 되었을 때 다시 1로 돌아가는 것을 확인할수 있다.FND 디코더 설계- 12진 카운터와 60진 카운터의 시간 값을 7-
    리포트 | 16페이지 | 3,500원 | 등록일 2015.05.30
  • 전자공학실험1 실험7장 결과보고서 : 디코더와 멀티플렉서
    7447 : 7- segment decoder1)그림 7.7의 회로를 Bread Board에 구현.2)입력 DCBA의 조합을 통해 7-segment LEDA에 나타나는 결과를 표시.DCBA0 ... segment decoder1741518:1 MUX174155Dual 1:4 Demux (4:16 dec)1741934 bit up/down counter13.실험과정 및 결과 고찰3.1 ... 동작 원리를 익히고 7-segment LED에 display하는 모양에 따라 디코더를 설꼐할 수 있도록 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.31
  • 전자공학과 디지털 회로 및 실험설계 텀프로젝트 간이 전압계입니다.
    사용부품 및 공구▶ 만능기판▶ 납땜인두▶ 와이어스트리퍼▶ 프로브▶ AA Size 건전지▶ 스위치▶ 7-segment FND▶ NOT Gate▶ Decoder IC (74LS47)▶ ... 디지털회로 실험 및 설계Term Project 최종 보고서학 과 : 전자공학과과 목 : 디지털회로 실험 및 설계팀 원 :담당교수 :보고서 제출일 : 2015년 6 월 7 일목차1. ... 그렇게 되면 첫 번째 OPAMP의 반전 입력단에 들어가는 전압은 9V이고 두 번째는 8V, 세 번째는 7V, … 아홉 번째는 1V가 들어가게 된다.Vin은 멀티미터의 프로브가 위치하는
    리포트 | 9페이지 | 2,000원 | 등록일 2016.04.06 | 수정일 2017.05.23
  • 아주대학교 논리회로실험 실험8 예비보고서
    segment 표시기를 갖는 BCD Counter① 7447을 이용하여 0~9까지의 2진 값을 7-segment에서 0~9를 표현할 수 있도록 변환② 알파벳순으로 핀 번호가 배정되지지 ... /Drivers)☞ A~D로 들어온 2진값을 7segment에 맞는 값으로 변환(a~g)☞ 3, 4, 5번 핀을 High 상태로 두어야 원하는 결과를 얻을 수 있음4. ... segment 표시기를 갖는 BCD Counter① 7490을 이용한 BCD Counter를 통해 0~9 까지의 값을 얻음② 스위치를 이용해 2,3번 핀이 GND에 연결된 후부터 동작③
    리포트 | 9페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • Combination Logic Circuit Design
    Decoding is necessary in applications such as data multiplexing, 7 segment display and memory address ... The value of binary is combined by dial.⑦ Express 7-segment controller using verilog HDL.module VERILOG_HDL ... It's number is 7,1,2,6.
    리포트 | 5페이지 | 1,500원 | 등록일 2012.11.27
  • 7-segment를 이용한 숫자 출력 프로젝트 최종 보고서
    7-segment를 이용한 숫자 출력- 논리회로 실험 -목차1. 서론1.1 7segment의 종류1.2 7-Segment의 제어1.3 사용 소자 DATA SHEET2. ... segment에서 출력이 표현되도록 회로를 설계하여 7-segment에 0~9까지의 숫자가 표현 되는 것을 확인하였다.1. ... 여기서 막대 모양의 LED 하나하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.
    리포트 | 12페이지 | 2,000원 | 등록일 2013.12.22
  • 논리예비8 Counter
    segment 표시기를 BCD Counter- 74HC74를 이용하여 0~9까지의 2진 값을 7-segment에서 0~9를 표현할 수 있도록 변환한다.- 알파벳순으로 핀 번호가 배정되지 ... /DRIVERS- A~D로 들어온 2진 값을 7segment에 맞는 값으로 변환(a~g)- 3, 4, 5번 핀을 High 상태로 두어야 원하는 결과를 얻을 수 있음3. ... segment 표시기를 갖는 BCD Counter- 74HC90을 이용한 BCD Counter를 통해 0~9까지의 값을 얻는다- 스위치를 이용해 2, 3번 핀이 GND에 연결된 후부터
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.23 | 수정일 2013.11.07
  • Combination Logic Circuit Design
    -There is the delay matrix of 7-segment decoder in experiment result segement. ... segment decoder, then calculate the maximum operating frequency when this circuit is run by clock. ... A to D) Get its True/False table.input7-segment outputABCDabcdefg000001111110100010110000200101101101300111111001401000110011501011011011601100011111701111110000810001111111910011110011A10101110111C10111001110a11001111101b11010011111c11100001101d11110111101A
    리포트 | 6페이지 | 1,500원 | 등록일 2012.11.27
  • [0417예비] 부호기
    십진 수치의 표시십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment 복호기와 수치표시기를 들 수 있다. ... 또 BCD-to-7 segment 복호기 중에서 7446, 7447 은 A형을 위한 것이고, 7448, 7449는 K형을 위한 것이다. ... segment 복호기가 된다.수치 표시기에 사용되는 발광 다이오드는 그림 7에 보인 것과 같이 저항을 직렬로 연결하여 전류를 흘려주면 발광을 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.05.15 | 수정일 2015.06.11
  • BCD 카운터 & up and down 카운터 결과보고서(PCB 부분)
    명 제7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하고, 2진 입력을 BCD코드로 디코드하는 과정을 눈으로 ... 숫자를 출력해주는 7-segment의 성질을 이용한 설계라 할 수 있다.10. ... 각 칩의 명칭과 기능 ··············p.24.1 HD74LS47P4.2 7-segment ( FND 507 )5.
    리포트 | 9페이지 | 2,500원 | 등록일 2013.05.05
  • 디지털 시계 실제로 구현하기
    사용한 부품 목록▶ 7-segment : 4개▶ 74LS47 (BCD to 7-segment Decoder) : 6개▶ NE555 (timer) : 1개▶ 74LS90 (Decade ... t _{H} =0.693(18.5k)(0.01 mu F)=128 mu s#T=104+128=232 mu s#duty```cycle= {128} over {232} =55.2%이때, ... 7세그먼트 아래에 있는 OR gate에 시계부 데이터 차단, 알람부 데이터 입력?7세그먼트에 알람부 데이터만 표시24시 모드로 변경?
    리포트 | 12페이지 | 20,000원 | 등록일 2016.05.20 | 수정일 2021.05.30
  • 논리회로실험 15주차 결과보고서
    )이 입력으로 들어와 seg_hex0과 seg_hex1으로 출력되며 7segment LED를 위한 신호로 변환해주는 블록이다.5. ... FPGA에서 Clock divider 없이 프로그래밍 했을 경우 눈으로 변화를 감지 못할 정도로 빠르게 움직여 마치 모든 7-segment-LED가 모두 켜져 있는 결과가 나오므로 ... 실 험 목 적- Counter, Decoder, 7-Segment - LED driver 등 그동안 실험에서 배운 블록을 이용하여 회로 블록을 설계하여 본다.- 기본적인 디지털 로직
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 아주대 논회실 논리회로실험 실험8 예비보고서
    /DRIVERS-A~D로 들어온 2진 값을 7 segment에 맞는 값으로 변환(a~g)-3,4,5번 핀을 HIgh 상태로 두어야 원하는 결과를 확인할 수 있다.4. ... .- 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환을 실험하고 동작을 이해한다.-비동기식카운터와 동기식카운터의 차이점을 이해한다.2. ... Counter-MR핀이나 MS핀이 두 개 모두 H 상태인 경우 카운팅 안함.- Q0 핀과 CLK1핀을 연결하면 BCD Counter로 동작SN7447A BCD-TO-SEVEN-SEGMENT DECODERS
    리포트 | 5페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 결과 Counter
    파란색 영역에서 (74HC47)BCD to 7-segment Decoder 사용3. ... 그 4개의 출력을 74HC47소자로 받아 7-segment에 맞는 출력(a~g)으로 바꾸어 보내주면 7-segment 디스플레이에 불이 들어와 최종적으로 7490소자가 카운팅한 수를 ... 있는 실험이었다. 7490소자로 0~9까지의 수를 2진수로 인코딩하여 7447소자로 다시 7-segment소자가 출력을 인식할 수 있게 디코딩을 하여 최종적으로 7-segment소자의
    리포트 | 5페이지 | 2,000원 | 등록일 2013.12.26
  • 논리예비5 Decoder & Encoder
    type 7-segment LED, 저항 : 330Ω1) 디코딩(Decoding)- n비트의 2진 코드를개의 서로 다른 정보로 바꾸어 주는 조합논리회로- 입력 n개, 출력 m개인 ... Decoder & Encoder1. 목적- 디코딩(decoding)과 인코딩(encoding)의 코드 변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... 실험이론DC 전원공급기 (power supply), 저주파발생기, 오실로스코프74HC04, 74HC20, 74HC42, 74HC76, 74HC90, 74HC47Common anode
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.23 | 수정일 2013.11.07
  • VHDL 디지털시계 최종보고서 시뮬레이션(소스 포함)
    );end dis_7_seg;- 부프로그램인 function(함수)를 사용함7segment 기능인 1~9까지 나타내는 숫자를 제어함beginprocess(clk, rst)beginif ... ";when 6 => decode_seg := "0000010";when 7 => decode_seg := "1011000";when 8 => decode_seg := "0000000 ... - 디지털 시스템의 내부 회로의 연결, 동작을 표현 architecture를 선언signal 선언을함vfunction dis_7_seg(count_seg: integer range
    리포트 | 15페이지 | 1,500원 | 등록일 2013.01.26 | 수정일 2023.03.08
  • 디지털실험 4예비 실험 4. 엔코더와 디코더 회로
    BCD-7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다.4. ... 부호변환 회로의 설계방법을 익힌다.3. seven-segmemt 숫자표시기의 사용 방법을 익힌다.이론ABD0D1D2D30*************00101100011.디코더(Decoder ... Encoder와 Decoder의 기능을 익힌다.2.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.30
  • 전기전자기초실험 Chapter 8 Combination Logic Circuit DesignPre-report
    elements7447 is one of the ICs that performs BCD to 7-segment Decoder. ...  Express 7-segment controller using verilog HDL.Verilog HDL:************************style 1********* ... 7'b0110000;4'b0010: seg = 7'b1101101;4'b0011: seg = 7'b1111001;4'b0100: seg = 7'b0110011;4'b0101: seg
    리포트 | 6페이지 | 1,000원 | 등록일 2011.12.18
  • 결과보고서 실험 7. 복호기와 부호기(Decoder & Encoder)
    segment 표시기를 갖는 BCD 카운터7-segment 표시기를 갖는 BCD 카운터7-segment 표시를 하기 위해서는 우선 NBCD 입력을 10진수로 고치고 그것을 7-segment ... 캐소드 접지형 7segment LED를 이용해 실험 하작에 관해 실험하고 그 동작원리에 대하여 실험을 통하여 알아보았다.실험 전 실험 강의 자료를 통해 복호기와 부호기(Decoder ... 이때 7-segment 모두가 발광해야 한다. 다시 LT를 +5V에 연결한다.
    리포트 | 8페이지 | 3,000원 | 등록일 2012.03.11
  • BCD 카운터 & up and down 카운터 결과보고서(ATmega128 부분)
    명 제⇒ 저번 설계에서 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하였다. 2진 입력을 BCD코드로 디코드 ... 설계과정① 기존에 설계완성을 한 BCD 7-segment decoder PCB회로 기판을 준비한다.② IAR tool을 이용하여 원하고자 하는 결과물 얻기 위한 C언어 소스를 구상한다 ... Initial_FND()는 7-segment에 신호를 보내게 되는 Port들의 값을 초기화 시키는 함수이다.
    리포트 | 15페이지 | 3,500원 | 등록일 2013.05.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:57 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대