• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(407)
  • 리포트(398)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7segment decoder" 검색결과 201-220 / 407건

  • 논리회로설계실험 ALUkit (결과보고서)
    이렇게 하여 계산된 값은 led와 7segment를 통하여 출력이 된다. 그리고 reset버튼을 통하여 초기화를 시킬 수 있다. ... 이것들을 할당이 된 값을 출력을 시켜주려면 각각 또 7segment값을 지정하여 출력을 하여야 하는데 이것이 SEG_OUT이 된다. ... [네이버 지식백과] ALU [Arithmetic and Logic Unit] (용어해설)위의 ALU를 통하여의 연산을 수행하는 회로를 설계한다.이렇게 수행된 결과를 아래의 7segment
    리포트 | 20페이지 | 1,000원 | 등록일 2015.08.25
  • VHDL스탑와치 설계
    (count6); -- 6진카운터를 7-segment로 변환 end sample;if(seg = 50000000)then동기식일 경우 추가{nameOfApplication=Show} ... (count10); --10진카운터를 7-segment로 변환 -- 6진 카운터 process(clk,clear) begin if clear = '1' thenⅢ. ... ; when 5 = seg_decode := 10010010 ; when 6 = seg_decode := 10000010 ; when 7 = seg_decode := 11111000
    리포트 | 9페이지 | 1,000원 | 등록일 2014.12.01
  • 전자공학과 디지털 회로 실험 및 설계 텀프로젝트 간이전압계 ppt자료입니다.
    segment FND ▶ NOT Gate ▶ Decoder IC (74LS47) ▶ OP amp ▶ Encoder (74LS147) ▶ Regulator (Lm7805 )동작원리 6 ... 있는 간이 전압계를 생각하게 되었고 작품구상에 들어가게 되었다 .사용부품 및 공구 5 ▶ 만능기판 ▶ 납땜인두 ▶ 와이어스트리퍼 ▶ 프로브 ▶ AA Size 건전지 ▶ 스위치 ▶ 7-
    리포트 | 15페이지 | 2,000원 | 등록일 2016.04.06
  • 디지털전자실험 - 디코더,인코더 특성
    (위의 LED에는 불이 켜지지 않는다.)실험 10-5 BCD-to-7 segment decoder를 이용한 FND 구동회로BCD-to-7 segment decoder를 이용한 FND ... -to -7 segment 디코더란? ... 구동회로 실험 방법① 위와 같은 BCD to 7 segment decoder 회로를 구성한다.(7447 1개, FND 1개, 저항 7개)② 1번, 2번, 6번, 7번 핀이 입력 ON
    리포트 | 6페이지 | 2,000원 | 등록일 2009.01.30
  • Application Design Ⅰ7-segment and Piezo Control
    Pre-Lab Report- Title: Lab#08 Application_Design_Ⅰ @ 7-segment and Piezo_Control-담당 교수담당 조교실 험 일학 번이 ... 설계가 필요함.7-Segment Decoder 진리표구조Dynamic 7-SegmentStatic 7-Segment의 구조를 제어하기 위해서 1개의 7-Segment를 제어하는데 ... Reference (참고문헌)Introduction (실험에 대한 소개)Purpose of this Lab : 7-Segment Decoder와 PIEZO에 대해서 알아보고 Static
    리포트 | 16페이지 | 1,000원 | 등록일 2016.04.06
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습7 [결과레포트]
    Purpose of this Lab7-Segment Decoder에 대해 이해하고 학습한다.Static 7-Segment 컨트롤러를 설계한다.Dynamic 7-Segment 컨트롤러를 ... 5 7-Segment Decoder 진리표_2Static 7-Segment 컨트롤러 설계그림 SEQ 그림 \* ARABIC 6 7-Segment DecoderDynamic 7-SegmentStatic ... 때문에 7-Segment를 제어하기 위한 디코더의 설계가 필요함.그림 SEQ 그림 \* ARABIC 4 7-Segment Decoder 진리표_1그림 SEQ 그림 \* ARABIC
    리포트 | 20페이지 | 1,000원 | 등록일 2017.10.19
  • 04 논리회로설계실험 예비보고서(인코더,디코더)
    BCD 입력에 의해서 표시장치를 동작시키기도 한다. 7 segment 표시장치(4) BCD to 7 segment Decoder4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 ... BCD to 7 segment 회로도3. ... segment 진리표각 디코더의 출력(7 segement의 입력단자 a~g)에 대해 Karnaugh map을 이용한 간단화 과정을 거친 후 작성된 디코더의 회로는 다음과 같다.
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 서강대학교 디지털논리회로실험 3주차결과
    Code에서는 ‘fnd’ pin으로 설정해주었다.▲ 7-segment Decoder의 VHDL codePin mapping을 통해 7-segment display에 Logic을 표시하였다 ... 실험 목적● 일반적인 binary decoder의 동작 원리를 이해한다.● 7-segment의 동작 원리를 이해한다.● Priority encoder의 동작 원리를 이해한다.● FPGA와 ... 알파벳 소문자 ‘t’ ‘e’ ‘s’ ‘t’ 순서로 나타난다.74LS47을 이용하여 7-segment를 구현하여 출력을 확인하였다.▲ 74LS47 7-segment 소자▲ 74LS47의
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • 조합논리회로 1 부호기 예비보고서
    ■십진 수치의 표시-십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment 복호기와 수치 표시기를 들 수 있습니다. ... 이것은 BCD로 나타내는 숫자 신호를 7편(segment)의 십진수 구성요소들로 복호시킨 후 이를 해당 발광다이오드(LED : Light Emitted Diode)들에 연결하여 십진 ... 그림 2 BCD to Decimal decoder timinggram위의 그림은 BCD to Decimal decoder의 logic symbol인데, 왼쪽의 input 4개가 output
    리포트 | 2페이지 | 1,500원 | 등록일 2014.11.28
  • 메카트로닉스-LAB #4 (Digital Integrated Circuit)
    구성 능력을 배양한다.Parts list7segment LED7490 decade counter 7447 display decoder7segment는 여러 개의 segment를 동일 ... 십의 자리를 다른 7segment에서는 일의 자리를 표현하는 회로를 구성한다.일의 자리의 7447 display decode의 D(6번핀)와 십의 자리의 7490 decade counter의 ... 예로는 이진 카운터, 2로 나누는 카운터, 그리고 4로 나누는 카운터 등이 있다.Exercise resultsExercise01: 7 segment display 회로구성7segment
    리포트 | 7페이지 | 3,000원 | 등록일 2014.01.28
  • 디지털논리회로 텀프로젝트
    시간에 따라 변하는 카운터의 출력이 디코더의 입력으로 들어가고 디코더의 출력이 7segment의 입력으로 들어간다. 7segment는 7개의 LED를 이용하여 0~9까지 숫자를 표현할 ... 7447 decoder를 이용한 시간 표현*7447 decoder 7segmant의 연결* 7447 decorder datasheet*7segmant datasheet7490의 카운터에서 ... 입력펄스를 2진 카운터의 입력에, 2진 카운터의 출력을 5진 카운터의 입력으로 들어가게 하고 4개의 출력(QA, QB, QC, QD)을 4bit BCD 출력으로 이용하면 된다.③ 7segmant와
    리포트 | 7페이지 | 2,000원 | 등록일 2016.08.17
  • 경북대학교 전자공학실험1 올A+ 결과보고서 7장
    한다.- 멀티플렉서의 동작과 기능을 익히고 여러 소스의 데이터를 한 개의 bus를 통해 전송하는 방법을 이해한다.2 .실험내용실험 1 - 7447 : 7-segment decoder0 ... 실험목적-디코더와 멀티플렉서의 기능과 동작원리, 7-SEGMENT 디코더의 동작원리를 익히고 7-segment LED 에 display 하는 모양에 따라 디코더를 설계할 수 있도록 ... 다르고,모양은 동일1μs1MHz0111주기는 다르고,모양은 동일0.1μs10MHz0입력D0D1D2D3D4D5D6D7※분석- 여기서 사용한 74151 MUX 는 8개의 Data source
    리포트 | 6페이지 | 3,000원 | 등록일 2015.11.03 | 수정일 2022.03.28
  • 디지털실험및설계 예비6(복호기 및 부호기)
    segment십진 카운터 7490, BCD-to-7 segment 복호기 7447, 7 segment LTS 542가 이용된다. ... 그림4.1)은 7 segment의 구성요소를 나타낸 것이다. ... 마찬가지 방법으로 나머지 핀에 대해서도 논리 식을 찾아서 논리회로를 구현한 것이 BCD-to-7 segment 복호기이다. 그림4)는 BCD-to-7 segment 회로이다.
    리포트 | 10페이지 | 1,500원 | 등록일 2015.12.05
  • 서강대학교 디지털논리회로실험 9주차결과
    . 100Hz 이상에서는 100Hz와 마찬가지로 7-segment가 자연스럽게 보였다.▲ 그림 9.17Counter와 decoder를 이용하여 회로를 구성하였다. 74163 counter에서 ... 0이 변화하는 것을 알아냈지만 이것이 어떤 의미를 가지는 data인지 LED로만 추측하는 것은 어렵다. 7-segment와 연관시켜 생각해보면 LED7-LED0의 신호가 7-segment에서 ... 초기에는 counter의 출력이 0000이었고 이 때 7-segment에 display되는 data는 0으로서 7-segment의 h-a까지 신호가 11000000이었음을 알 수 있다
    리포트 | 7페이지 | 2,000원 | 등록일 2014.01.02
  • 10. Mod-n counter - 복사본
    Mod-n counter1.실험 목표① Flip-Flop을 이용한 Mod-N-counter를 이해한다.② Counter의 출력을 decoder와 7-segment를 이용하여 디스플레이할 ... 설계한 counter회로를 디코터 7447 IC칩과 7segment를 연결하여 7segment에 출력이 되도록 구성하고 출력되는 값을 기록한다.(2)2Mod-10 counterQ.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.11.26
  • 디지털시계 설계
    목차디지털시계의 블록도 7-segment Display 74LS47 - BCD to 7segment Decoder/Driver 동기식 모듈-N 카운터 설계 555타이머 후기 및 최종설계도디지털시계 ... 공통단자에 Vcc 를 물려주는것은 애노드타입 Gnd 를 물려주는것은 캐소드 타입이다.BCD to 7segment Decoder/Driver시계를 만들기 위해서는 앞에서 설계한 카운터들의 ... 여기서 디지털 시계의 회로를 제대로 이해하기 위해서는 무엇보다 카운터 설계에 대한 개념이 잡혀 있어야 된다고 생각한다.7-segment Display0~ 9 까지의 숫자를 표시하기
    리포트 | 20페이지 | 2,000원 | 등록일 2008.08.20
  • 전기전자기초실험 Combination Logic Circuit Design 결과레포트 (영어)
    segment decoder, then calculate the maximum operating frequency when this circuit is run by clock. ... I0~I3 pass through 4 to 1 MUX(M1),MUX_4_TO_1 M2(I4,I5,I6,I7,W2,S1); //and I4~I7 pass through 4 to 1 ... is I1.endcaseendendmodulemodule MUX_8_TO_1 (I0,I1,I2,I3,I4,I5,I6,I7,S0,S1,S2,Y); //Design 8 to 1 MUXinput
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.01
  • IC 칩을 이용한 수위계측기 최종보고서
    7-segment(또는 FND라고 표현)라고 한다.LED 하나하나에 각각 A~G까지의 각각 이름을 붙이고 도트가 있는 경우는 ‘Dp’라는 별도의 이름을 부여한다.7-segment의 ... 가능하다.- 7-segment7-segment는 다양한 숫자의 모양을 표현할 수 있도록 LED를 숫자 형태로 배열하고 원하는 LED만을 점등하여 숫자를 표현하게끔 만든 재미있는 소자를 ... 그리고, 7-segment는 다양한 숫자의 모양을 표현할 수 있도록 LED를 숫자 형태로 배열하고 원하는 LED만을 점등하여 숫자를 표현하게끔 만든 재미있는 소자를 7-segment
    리포트 | 8페이지 | 1,000원 | 등록일 2014.12.23
  • 디코더, 인코더 예비보고서
    수치의 표시십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment 복호기와 수치 표시기를 들 수 있다. ... 이것은 BCD로 나타내는 숫자 신호를 7편(segment)의 십진수 구성요소들로 복호시킨 후 이를 해당 발광다이오드(LED : Light Emitted Diode)들에 연결하여 십진 ... 우선, 이 실험에서는 조합논리회로의 기본적인 예가 되는 복호기와 부호기에 관해서 실험하도록 한다.2.1 복호기복호기(decoder)는 이진 부호(binary code), BCD 부호
    리포트 | 2페이지 | 1,000원 | 등록일 2015.12.20
  • [A+ 예비보고서] 아주대 논리회로실험 실험5 'Decoder & Encoder'
    segment LED(1개)저항 : 330Ω (7개)4. ... 예비보고서실험목적- 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.- AND gate를 이용하여 Excess-3 Code를 ... : 5V오실로스코프74HC04 (2개), 74HC20(2개), 74HC42(1개), 74HC76(2개), 74HC90(1개), 74HC47(1개)Common anode type 7-
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대