• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(407)
  • 리포트(398)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7segment decoder" 검색결과 41-60 / 407건

  • 설계실습 11. 7-segment / Decoder 회로 설계 결과
    설계실습 11. 7-segment / Decoder 회로 설계1. ... 이번 설계실습 계획서를 애노드형 7-segment로 작성하여 그대로 실습하지 못하였지만 캐소드형 7-segment와 큰 차이가 없어 약간의 회로 수정을 통해 원하는 결과를 볼 수 있었다 ... -segment의 원리를 알고 나니 실상 좀 싱거운 면이 있었지만 그래도 회로 설계시 많이 쓰이는 7-segment 실험을 하게 되어 뜻 깊은 실험이었다.
    리포트 | 2페이지 | 1,500원 | 등록일 2010.11.12
  • 설계실습 11. 7-segment / Decoder 회로 설계 예비
    (3) Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계하라. ... 설계실습 11. 7-segment / Decoder 회로 설계1.목적 : 7-segmentDecoder를 이해하고 관련 회로를 설계한다.2.준비물직류전원장치1대멀티미터 또는 오실로스코프1대Bread ... board1대저항(330Ω)8개Decoder(74LS47)1개Hex Inverter(74LS04)8개7-segment1개Toggle Switch4개점퍼선다수1. 7segment7segment
    리포트 | 6페이지 | 1,500원 | 등록일 2010.11.12
  • 기초회로 실험 Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다. (결과보고서)
    기초회로실험(2분반) 2조 결과보고서 1(제 8회)실 험 주 제Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다.제 출 일 시학 번 / 이 ... 각각의 입력에 전압을 가하면 그에 따라 7-segment LED에 표시되는 숫자를 관찰하여, Decoder의 원리를 이해하는 것이다.7448은 BCD 코드를 입력받아서 해당 숫자를 ... 따라서 7-segment LED의 a, b, d, e, g에 불이 켜져숫자 ‘2’를 나타내게 된다.기초회로실험(2분반) 2조 결과보고서 2(제 8회)실 험 주 제Encoder와 Decoder
    리포트 | 3페이지 | 1,000원 | 등록일 2012.10.31 | 수정일 2013.11.11
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 11장 7segmentDecoder 회로 설계
    segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 설계실습 계획서11-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000******** ... 실습목적7-segmentDecoder를 이해하고 관련 회로를 설계한다.11-2.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • [ASIC] ASIC_7-segment decoder
    < if문을 사용한 코드>library ieee;use ieee.std_logic_1164.all;// entity 선언entity DEC7 is port (BCD : in std_logic_vector ... (3 downto 0); // 벡터를 사용(4bit 입력)y : out std_logic_vector(6 downto 0)); // 벡터를 사용(7bit 출력)end DEC7;// ... : out std_logic_vector(6 downto 0)); // 벡터를 사용(7bit 출력)end DEC7;// architecture bodyarchitecture a of
    리포트 | 3페이지 | 1,000원 | 등록일 2004.08.18
  • [A+] 중앙대 아날로그 및 디지털회로 설계실습10 7-Segment/Decoder 회로 설계 예비보고서
    Segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 설계실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000******** ... 실습 목적7-segmentDecoder를 이해하고 관련 회로를 설계한다.10-2.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.06
  • 아날로그및디지털회로설계실습예비보고서10-7-segment, Decider 회로 설계
    실습목적7-segmentDecoder를 이해하고 관련 회로를 설계한다.2. ... 실습 준비물저항 330Ω, 1/2W, 5% : 8개Decoder 74HC47 : 1개Inverter 74HC04 : 8개7-segment : 1개switch : 4개 ... ) : 1대점퍼선 : 다수7-segment LED는 숫자를 표시하는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성되어 있으며 필요한 LED만 선택적으로 점등하여
    리포트 | 5페이지 | 1,000원 | 등록일 2020.03.29
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    사용 부품1) 74LS477-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다. ... [그림 19][그림 20]3) 과정 3 : 7-segment decoder의 동작 확인VHDL 코드 및 시뮬레이션 결과는 다음과 같다. ... [그림 9]4) BCD-to-7-segment decoderBCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    각 데이터에 Low 값을 전달하여 LED에 불이 들어오도록 설정한다.1. 7-segment decoder 설계7-segment 설계에서는 하나의 segment LED에 0에서 9까지 ... 이 값은 Dynamic 7-segment Decoder를 구성하는 코드를 똑같이 작성하여 값을 출력하게 하였다. ... 또 load 변수를 통하여 in 값을 입력할 수 있다.여기서 주목해야할 점은 reg형 decode변수인데, 이 decode변수를 통해 카운터의 출력값인 out에 따라 7-segment
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 과제
    조합논리 회로의 예 (7-segment/Decoder 회로 설계) 과제7-segment LED의 특성을 확인하였을 때 Common Cathode type이라면, 7-Segment LED의 ... 쓰시오-BI/RBO 핀이 Low 일 경우BI/RBO 핀이 Low 일 경우 어떠한 입력에 대해서도 모든 7개의 segment가 꺼져있다. ... -LT 핀이 Low이고 BI/RBO 핀이 High 일 경우LT 핀이 Low이고 BI/RBO 핀이 High 일 경우 다른 입력 핀과 관계없이 모든 7개의 segment가 점등된다.
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 2 보고서
    After understanding the theoretical backgrounds, we will implement 7-segment controller and ALU by using ... principles of MUX/DEMUX and Encoder/Decoder, which are the typical examples of combinational logic circuits ... Abstract There are two main types of logic circuits: combinational logic circuits and sequential logic
    리포트 | 12페이지 | 3,000원 | 등록일 2020.08.18
  • [A+]아날로그및디지털회로설계실습 10장 결과보고서
    Decoder의 신호에서 7-segment LED사이에 있는 저항의 양단의 전압을 측정하였다.LED가켜졌을 때7-segment 이후 저항 이전 : 3.10V저항 이후 decoder ... 전자회로 설계실습설계실습 10. 7-segment / Decoder 회로 설계4조 결과보고서1. ... 결론이 실험의 목적은 7-segment LED와 decoder를 이해하고 관련하여 회로를 설계하는 것이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2024.02.18
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    7-segment- 위의 사진은 7-segment로, 7개의 마디와 1개의 점 및 10개의 핀을 가지고 있다.- 7-segment는 애노드 공통형과 캐소드 공통형이 있으며, 애노드 ... 7-segment는 7개의 마디와 1개의 점으로 이루어진 표시장치이다. ... 실험목표① 7-segment의 구조를 살펴보고, 동작을 실험한다.② 7447 디코더의 동작을 살펴보고, 동작을 실험한다.③ 7-segment와 7447 디코더로 구성한 10진수 표시
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 예비 리포트
    이번 보고서에서는 7-segment/Decoder의 진리표를 학습하고 불리언 식을 구해 7-segment/Decoder회로를 설계하는 실험을 했다.실험결과:7-segment/Decoder ... 그 후에 Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계했다. ... Segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다.결론: 이번 보고서에서는 7-segment/Decoder를 통해 조합논리회로를
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.02
  • [아날로그 및 디지털 회로 설계실습] 결과보고서(과제)10
    7-segment LED는 3, 8번 pin은 공통(common) 핀으로 사용되며 common Cathode type의 경우 공통 핀은 아래의 회로와 같이 접지(GND)로 연결하여 ... 사용하면 된다.2. 74LS47 Decoder의 출력과 7-Segment LED의 입력 사이에 저항을 연결하는 이유는 무엇인가? ... type이라면, 7-Segment LED의 3번핀과 8번핀은 어디에 연결하여야 하는가?
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.14
  • 디지털 논리회로 3주차 예비보고서
    따라서 입력 ABCD는 0111이 되어야 7이 출력이 된다.1.4 BCD to 7-segment decoder 7447과 7-segment 5161의 datasheet를 확인하시오.516174477447은 ... segment의 기능을 할 수 있다. 8이 출력된다는 것은 7-segment를 이루는 모든 LED가 켜졌다는 것이다. ... 즉, LT기능을 통해서 7-segment의 LED가 모두 정상작동 하는지를 확인할 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.04
  • 중앙대학교 아날로그및디지털회로 예비보고서10
    설계실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000******** ... Segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다.Pspice 프로그램을 사용한 회로는 다음과 같다. ... + BC’ + AC’D’ABCD00011110001001010000110010100010g = ABC + A’B’C’D’ + AB’C’D’ = ABC + B’C’D’10-3-3 7-
    리포트 | 4페이지 | 2,000원 | 등록일 2024.08.06
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.일반적인 decoder-7-segment display-7-segment display는 A~G의 7개 LED로 ... 디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치 ... 진리표에 맞게끔 7-segment display가 출력되는 모습을 확인할 수 있었다.VHDL을 이용한 구현 (optional)STEP 19:그림 34 – 7-segment display의
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 현대자동차 자기소개서
    카운트 회로는 10진 up-down 카운터로 쓰이는 74192칩과 1, 2, 4, 8을 입력을 받아 7-segment에 맞게 출력해주는 decoder, 7개의 LED로 숫자를 표현하는 ... 7-segment를 연결해 만들었습니다. ... 또한 현대자동차는 2025년까지 상용차 부문에서 전기차 7종, 수소전기차 10종으로 친환경 전동화 라인업을 확장한다는 투트랙 전략을 발표했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.08.16
  • [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    문제개요AND, Not Gate를 이용한 4×10 Decoder을 이용하여, 7-Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용한 4×10 ... issignal s_and1, s_and2 : std_logic;begins_and1 ... in3, in4 : in std_logic;out1 : out std_logic);end ud_and4;--declare and2architecture sample of ud_and4
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대